ICSCRM 2023: INTERNATIONAL CONFERENCE ON SILICON CARBIDE AND RELATED MATERIAL 2023
PROGRAM

Days: Sunday, September 17th Monday, September 18th Tuesday, September 19th Wednesday, September 20th Thursday, September 21st Friday, September 22nd

Sunday, September 17th

View this program: with abstractssession overviewtalk overview

08:00-10:30 Session 1: Tutorial Day 1-2
Location: Ulisse
08:00
Fundamentals of SiC Complementary MOSFETs and JFETs for Advanced IC Applications (abstract)
09:15
High Temperature Devices for Aerospace Applications (abstract)
11:00-12:30 Session 2: Tutorial Day 3
Location: Ulisse
11:00
2D materials integration on silicon carbide: a root beyond power electronics (abstract)
13:30-16:00 Session 3: Tutorial Day 4-5
Location: Ulisse
13:30
How SiC brings an added value to MEMS devices ? (abstract)
14:45
Novel photonic applications of SiC (abstract)
16:30-18:00 Session 4: Tutorial Day 6
Location: Ulisse
16:30
Silicon Carbide Biotechnology There is more to SiC than power electronics! (abstract)
Monday, September 18th

View this program: with abstractssession overviewtalk overview

09:00-10:30 Session 6: Plenary I
09:00
Technology and Business Development of SiC MOSFET: Retrospect and Prospect (abstract)
09:40
Accelerating SiC Mass Commercialization
11:00-12:30 Session 7A: Devices 1: Novel devices and integration concepts
11:00
Real-Time UV Imaging using 4H-SiC 64 Pixels CMOS Image Sensors
11:20
500°C Operation of 4H-SiC SRAM
11:40
Concept and technology for full monolithic MOSFET and JBS vertical integration in multi-terminal 4H-SiC power converters
12:00
Normally-off 1200V Silicon Carbide JFET Diode with Low VF
11:00-12:30 Session 7B: Defects 1: Extended defects in SiC materials I
Location: Ulisse
11:00
Study on quantification of correlation between current density and UV irradiation intensity, leading to bar shaped 1SSF expansion
11:20
3-dimensional observation of dislocations in 4H-SiC using focused light birefringence
11:40
Wafer-level identification of stacking faults in 4H-SiC epilayers and accurate analysis of overlaid complex structures by high-speed photoluminescence and HR-STEM
PRESENTER: Moonkyong Na
12:00
Estimation of Influence on Carbon Vacancy regarding 4H-SiC Substrate grown by HTCVD method
14:00-15:20 Session 8: Plenary II
14:00
The golden age of SiC: Turning the potential of SiC into opportunities for energy conversion applications (abstract)
14:40
SmartSiC™: a greener, faster and better technology for SiC (abstract)
16:00-18:00 Session 10A: Poster Session Mo.A
Location: Nettuno
SiC crystal growth behavior via physical vapor transport method dependent on mass transport of sublimed vapor in the SiC source (invited poster)
Peculiar behaviors of the step-terrace structure formed by single bilayer steps on the (000−1) surface
Quality improvement of SiC substrate surface with using non-abrasive CMP slurry
Evidence of twin mediated growth in the CVD of <110> oriented polycrystalline SiC
Effect of the Source Composition on PVT SiC in Graphite and TaC Coated Crucibles
Growth of 6 inches V-doped semi-insulating SiC single crystals using V-doped SiC powder as a source via PVT method
Design optimization of insulating materials for 4H-SiC crystal Ingot growth
Dislocation behaviors during the initial stage of physical vapor transport growth of 4H-SiC on an off-oriented seed crystal
Temperature-Dependent Hall Coefficient in Band Conduction Region for Heavily Al-Doped 4H-SiC
Low-resistivity (1mOhm-cm) 3C-SiC grown by hot-filament CVD
Channeling, Lateral Range and Diffusion Simulation Capabilities for Ion Implantation Recipe Design
A Meister solution for optimized grinding of laser split silicon carbide surfaces (abstract)
Submicron Hyperion Diamond for Silicon Carbide Wafer Polishing (abstract)
HYPREZ Wafering Solutions: A Novel Approach for Grinding to Clean CMP Solution (abstract)
Comparative optical metrologies of implanted SiC wafers
Keypoints for the development of polycrystalline SiC as quasi-substrate for the fabrication of SiC wafers
High-temperature α-spectroscopy with 4H-SiC based sensors
Vertical current transport in monolayer MoS2 heterojunctions with 4H-SiC fabricated by sulfurization of ultra-thin MoOx films
16:00-18:00 Session 10B: Poster Session Mo.B
Location: Foyer Sirene
Complementary two dimensional carrier profiles of 4H-SiC MOSFETs by Scanning Spreading Resistance Microscopy and Scanning Capacitance Microscopy Patrick Fiorenza (invited poster)
Carrier transport mechanism of NiAl contacts on n-type 4H-SiC
Suppressing leakage currents in 3C-SiC/Si devices through the fabrication of suspended structures
Fast Estimation of the Lateral Fidelity of Ion Implantation in 4H-SiC through Calibration to JFET Transfer Characteristics in TCAD
Development of silicide formation process on p-type SiC by laser annealing
Effect of substrate heating on low contact-resistance formation by excimer laser doping for 4H-SiC
Bilayer metal oxide high-κ dielectrics for high-performance SiC power MOSFETs
Lift-Off Process for Patterning of Sputter-Deposited Thick Metal Stacks Suitable for High Temperature Applications on 4H-SiC
Influence of Active Area Etching Method on the Integrity of Gate Oxide on 4H-SiC
The Effect of Nitrogen Plasma Treatment Process on Ohmic Contact Formation to n-type 4H-SiC
Improving HfO2 thick films for SiC Power Devices by Si, Y and La Doping
Spectroscopic investigation of the secondary electron doping contrast on 4H-SiC
Electrical characterization of 200 mm 4H-SiC-on-polycristalline SiC wafers bonding interface
Fabrication of SiO2/4H-SiC MOS devices by sputter deposition of SiO2 followed by high-temperature CO2-post deposition annealing
Influence of Carbon Capping Materials during High Temperature Annealing on Surface, Defects and Dopant Profile in SiC
Demonstrating SiC in Situ Rounded Trench Processing Technologies for Future Power Trench MOSFET Applications
Negative gate voltage Idss behavior of ion implantation effect on epi SF defects with high voltage SIC MOSFET
Hydrogen pressure dependence of step height on vicinal carbon-face 4H-SiC during in-situ etching
First demonstration of SiC transistor utilizing 2D electron gas in 3C/4H-SiC heterostructure
SiC MOSFET gate oxide quality improvement method in furnace thermal oxidation with lower pressure control
16:00-18:00 Session 10C: Poster Session Mo.C
Location: Foyer Ulisse
Trap distribution in 4H-SiC MOSFETs analyzed by a 3-level charge pumping technique (invited poster)
Threshold voltage variation of SiC trench MOSFETs during TDDB stress
Investigation of electrical performance degradation of 4H-SiC MOSFETs under high temperature and high gate bias stresses
Influence of material properties on ruggedness evaluation of package architectures for SiC power devices
Excellent Avalanche Capability in SiC Power Device With Positively Beveled Mesa Termination
Investigation of threshold voltage instability and bipolar degradation in 3.3 kV SiC-MOSFETs with embedded SBD and intrinsic body diode
Body diode reliability of 4H-SiC MOSFETs as a function of epitaxial process parameters
Avalanche ruggedness of 1.7 kV SiC MOSFETs for auxiliary power supply in motor drives
Failure-to-Open Short Circuit Failure Mode of SiC Power MOSFETs: 2-D Electro-Thermo-Mechanical Modeling
Gate Oxide Instability in Commercial SiC MOSFETs under Oxide Screening Electric Fields Stress
Threshold voltage drift mechanism in SiC MOSFETs under AC gate stress
Power cycling of SiC MOSFETs packaged in different module’s solutions
Analysis of TID effects through gamma-ray irradiation experiments
Total ionizing dose (TID) Effects on the 1.2 kV SiC MOSFETs under Proton Irradiation
16:01-18:00 Session 11: Poster Session Mo.D
Location: Le Ginestre
Density control of single-photon sources formed at a SiO2/SiC interface (invited poster)
SiC Sample-and-Hold Circuit for SiC CMOS Image Sensors
Gate resistance integration in SiC MOSFETs: performance simulations under different implementation methods
A Physics-based Model for Inversion Layer Mobility in SiC MOSFETs
A 1200V Low Forward Voltage Drop Silicon Carbide Diode with Trenched Junction-Pinched Barrier Rectifier Structure (TBR)
Investigation of Parasitic PN Junction Turn-on in 4H-SiC TMBS with P-Shielding
Effect evaluation and modeling of p-type contact resistance of SiC MOSFET on switching characteristics
Stress fields distribution and simulation in 3C-SiC resonators.
Junction-Controlled-Diode-Embedded SiC-MOSFET for Improving Third Quadrant and Turn-on Characteristics
PRESENTER: Xuan Li
Study of parasitic effects in SiC MOSFET switching circuits by comparing measurement and simulation
A Geometry-Scalable Physically-Based SPICE Compact Model for SiC MPS Diodes Including the Snapback Mechanism
Frequency investigation of SiC MOSFETs C-V curves with biased Drain
Device modeling of 4H-SiC pin-photodiodes with shallow implanted Al-emitters for VUV sensor applications
Single event effects in 3.3 kV 4H-SiC MOSFETs due to MeV ion impact
Accuracy of Split C-V Characterization of SiC Power MOSFETs
Fabrication of 6500 V SiC MOSFETs and Applications in the Solid State Transformer
High Accuracy SPICE Model of 3rd Quadrant Behavior on Both Planar and Trench SiC Power MOSFET
Comparative Performance Evaluation of High-Voltage Bidirectional, Conventional and Superjunction Planar DMOSFETs in 4H-SiC
Coupled non-destructive methods, Kelvin Force Probe microscopy and µ-Raman to characterize doping in 4H-SiC power devices
A Voltage Adjustable Diode Integrated SiC Trench MOSFET With Barrier Control Gate
PRESENTER: Xuan Li
18:00-18:30 Session 12: Industrial Keynote
18:00
Driving Clean Energy Adoption and Sustainability: The Pivotal Role of Silicon Carbide Power Electronics Technology (abstract)
18:30-20:30 Session 13A: Industrial Session A
18:30
LPE + ASM – Combination powers SiC growth (abstract)
18:35
SiC epi fabrication – 150 & 200 mm G10-SiC epitaxy platform
18:40
Trace element analysis of purified graphite material (abstract)
18:45
4H-SiC substrates using the Fast Sublimation Growth Process (abstract)
18:50
Screen UV Laser Anneal Technology for next generation of SiC Devices (abstract)
18:55
INNOVATION OF DEVICE AND 8-INCH WAFER AS GAME CHANGERS OF SIC MARKET (abstract)
PRESENTER: Poshun Chiu
19:00
Noncontact High Throughput, High Precision, Electrical Metrology for Wide Bandgap Semiconductors based on Corona Charge Photoneutralization Kinetics
19:05
WBG Semiconductor Industry Status and Prospects
19:10
In-line characterization of SiC epitaxial layers using high resolution surface photovoltage spectroscopy (HR-SPS)
19:15
Application of advanced characterization techniques to SmartSiC™ product for substrate-level device performance optimization
19:20
SmartSiC™ substrate: increasing SiC MOSFETs current density from device to module level
19:25
How to improve power device / module development efficiency (abstract)
19:30
Advanced Carbon film for high-voltage power, high-performance SiC devices
19:35
650 V SiC Power MOSFETs with Statistically Tight VTH Control and RDS,ON of 1.92 mΩ-cm2
PRESENTER: Jaehoon Park
19:40
Diamond Grit Size Effects on Grinding of Silicon Carbide Wafers (abstract)
19:45
Centrotherm High Temperature Annealing and Oxidation Furnaces (abstract)
19:50
Rapid industrialization of SiC trench technologies (abstract)
18:30-20:30 Session 13B: Industrial Session B
Location: Ulisse
18:30
Atomic Diffusion Bonding using nitride films and oxide films (abstract)
18:35
High-speed, high-resolution, non-contact resistivity and anomaly imaging of boules and wafers (abstract)
18:40
Novel Silicon Carbide (SiC) Chemical Mechanical Planarization (CMP) Solutions for Enhanced Performance and Cost of Ownership (abstract)
18:45
Ion Implantation Application Overview and Product Requirements for SiC Wafers and Devices (abstract)
18:50
How process scaling offers maximum flexibility of SiC wafering along the complete process chain
18:55
Sonic Lift-off to Enable Substrate Reuse of Wide Bandgap Semiconductors
19:00
Streamlining SiC Boule Fabrication - Optimized Wafer Ready Material
19:05
Analysis of Key Factors in High Aspect Ratio Etching of SiC Gate Trench with SF6/O2 Inductively Coupled Plasma
19:10
New Generation SiC MPS Diodes with Low Schottky Barrier Height
19:15
Total SiC Polishing Process Optimization for Cost of Ownership
19:20
Rapid Diamond Mechanical Polishing of SiC Substrates using the IRINO-PRO-C Structured Composite Polishing Pad
19:25
Enabling Next Generation WBG Semiconductors Using CVD Technology
19:30
Testing challenges for latest SiC devices (abstract)
19:35
Answers to SiC wafer and device production challenges (abstract)
19:40
SiC Power Device Manufacturing “EFIITRON” ion implanter for Advanced SiC Drift Zone Doping (abstract)
19:45
Improvement of SiC film thickness and wafer thickness uniformity by ion beam trimming
Tuesday, September 19th

View this program: with abstractssession overviewtalk overview

08:30-10:30 Session 14A: Process 1: MOS I
08:30
Evaluation and Optimization of the MOS Interface in SiC Power DMOSFETs (invited paper) (abstract)
PRESENTER: James A. Cooper
09:00
Different temperature dependence of mobility in n- and p-channel 4H-SiC MOSFETs
PRESENTER: Xilun Chi
09:20
Demonstration of Low Interface Trap Density (~3×1011eV-1cm-2) SiC/SiO2 MOS Capacitor with Excellent Performance using H2+NO POA Treatment for SiC Power Devices
09:40
Thermal oxidation of 4H-SiC(0001) surface in a pure CO2 ambient
10:00
Carbon dangling-bond energy levels at 4H-SiC(0001)/SiO2 interface determined by EDMR, C–V and first-principles calculation
08:30-10:30 Session 14B: Defects 2: Extended defects in SiC materials I
Location: Ulisse
08:30
The Role of Defects on SiC Device Performance and Ways to Mitigate Them (invited paper)
PRESENTER: Hrishikesh Das
09:00
Mechanism of Stacking Fault multiplication 4H-SiC Epitaxial Layers via an Interaction with Screw and Mixed Dislocations
09:20
Effect of Etching Chemistry on Quantification of Dislocation Densities in n+ 4H Silicon Carbide Substrates
09:40
Investigating Dislocation Arrays Induced by Seed Scratches during PVT 4H-SiC Crystal Growth using Synchrotron X-Ray Topography
10:00
Detailed characterization of defects in SiC using novel birefringence imaging toward identification of device-killer defects
11:00-12:30 Session 15A: Process 2: MOS II
11:00
High-mobility SiC p-channel MOSFETs on nonpolar faces
PRESENTER: Kyota Mikami
11:20
Increasing mobility in 4H-SiC MOSFETs with deposited oxide by in-situ nitridation of SiC surface
PRESENTER: Anthony O'Neill
11:40
SNDM study of MOS interface state densities on 3C-SiC and 4H-SiC stacked structure
12:00
Improved interface properties in SiC(0001) MOS structures by plasma nitridation of SiC surface prior to SiO2 deposition
11:00-12:30 Session 15B: Quantum 1: Optical and electrical quantum techniques
Location: Ulisse
11:00
Vanadium in SiC: the spin for telecom quantum networks (invited paper) (abstract)
11:30
Silicon carbide as a host of color centers emitting at telecom bands
11:50
Controlling the properties of single photon emitters at SiO2/SiC interfaces by oxidation and annealing
12:10
Carbon cluster emitters in silicon carbide
14:00-16:00 Session 16A: Devices 2: SiC MOSFETs technology and modelling
14:00
Pushing SiC to its limit: examining the advances in SiC MOSFET technology that will drive cost reduction (invited paper) (abstract)
PRESENTER: Peter Gammon
14:30
1.2 kV SiC MOSFET with Low Specific ON-Resistance And High immunity to Parasitic Turn-On
14:50
Calibration of Aluminum ion implantation Monte-Carlo model for TCAD simulations in 4H-SiC
15:10
Advanced Design Concepts for Next Generation High Voltage SiC MOSFETs with Improved Electrical Performance
15:30
Revised Channel Mobility Model for Predictive TCAD Simulations of 4H-SiC MOSFETs
14:00-16:00 Session 16B: Material 1: The SiC/liquid interface: challenges in controlling SiC growth from solution
Location: Ulisse
14:00
The SiC/liquid interface: challenges in controlling SiC growth from solution (invited paper)
14:30
Analysis of the Effect of Solvent Composition on Suppression of Inclusion in SiC Solution Growth
14:50
Influence of the size distribution of the SiC powder source on the shape of the crystal growth interface during PVT growth of 4H-SiC boules
15:10
Optimization of Temperature Distribution and Flow Distribution using Machine Learning for 8-Inch SiC Crystal Growth by TSSG Method
15:30
Development of Precise Simulation and Machine Learning Models for 4H-SiC Bulk Growth by HTCVD
16:30-18:30 Session 17A: Poster Session Tu.D
Location: Le Ginestre
Theory of Optically Detected Magnetic Resonance of V(Si) in 6H-SiC — A Quantum Sensor of Magnetic Fields
Optical ionization of qubits and their silent charge states
Silicon carbide diffraction imaging of defects and deterministic nanoscale quantum VV0 spin-defect synthesis
Coherent spin dynamics of hyperfine-coupled vanadium impurities in silicon carbide
Evaluation of the potential of PL5-7 centers in SiC for spin-based quantum sensing
Spin-orbit coupling of color centers for quantum applications
Plasmonic Ag nanoparticles on 4H-SiC for sensing application
Effect of doping type and concentration on optical and spin properties of silicon vacancies in SiC
Accurate analysis of leakage characteristics of SiC (1-100) MOS devices over a wide temperature range
Suppression of the reverse biased leakage current of junction barrier Schottky diode by low-temperature post-Al-implantation annealing and sacrifice oxide deposition
Feasibility study of monitoring polychromatic X-ray beams at synchrotron radiation sources using novel Silicon Carbide sensors
Characterizations of Novel Silicon Carbide sensors for dosimetry and monitoring of electron UHDR beams for FLASH radiotherapies
16:30-18:30 Session 17B: Poster Session Tu.A
Location: Nettuno
Addition of transition metal into CMP slurry for forming ultra-flat SiC crystal surface
High-quality SiC crystal growth by temperature gradient control at initial growth stage
The role of air-pocket in crucible structure for high quality SiC crystal growth
Confirmation of the growth mechanism of the buffer layer in epitaxial graphene on SiC.
Buffer layer dependence of defectivity in 200mm 4H-SiC homoepitaxy
4H-SiC crystal growth using recycled SiC powder source
Investigating the Influence of Post-Deposition Annealing on the Electrical Properties of Lithium Phosphate Deposited on Silicon Carbide
PRESENTER: Hyung-Jin Lee
Resistivity as a witness of local crystal growth conditions (abstract)
Characterization of SiC films epitaxially grown by MOCVD with varying nitrogen doping levels on 4H-SiC substrate.
Suppressing the memory effect in Al doped 3C-SiC grown using chlorinated chemistry
Masterization of poly-SiC characterization and properties for SmartSiCTM substrates enabling high performance power devices
Doping Efficiency and Long-Term Stability of Various SiC Epitaxial Reactors and Process Chemistries
A novel contactless SiC wafer planarization processing after mechanical slicing by dynamical thermal annealing processes
The Rise of 2D SiC Semiconductors
Preparation of Millimeter Scale 6H-SiC Single Crystal by Carbothermal Reduction: From the DFT Calculation to Experiment
Safe handling of viscous byproduct formed in exhaust tube by halide CVD for epitaxial growth of silicon carbide films
Innovative slurry for high removal rate single step SiC CMP enabling improved polishing throughput. (abstract)
16:30-18:30 Session 17C: Poster Session Tu.B
Location: Foyer Sirene
Enhancement of 1700V 4H-SiC P-shielding Trench Gate MOSFET using Multi-Epitaxial layer
Design optimization and reliability evaluation in 1.2 kV SiC trench MOSFET with deep P structure
The first optimisation of a 16 kV 4H-SiC N-type IGCT
Design of Monolithically Integrated Temperature Sensors in Silicon Carbide VJFETs
Dynamic Bias-Temperature Instability Testing in SiC MOSFETs
Gate Ringing and Dynamic Capacitance of SiC MOSFETs
Demonstration of 800 °C SiC MOSFETs for Extreme Temperature Applications
Improved blocking capability of 1.2 kV SiC trench MOSFETs using trenched source and buried p+ layer
Non-Linear Gate Stack Effect on the Short Circuit Performance of a 1.2-kV SiC MOSFET
Comparing 4H-SiC NPN Buffer Layers by Epitaxial Growth and Implantation for Neural Interface Isolation
Analysis of On-State and Short-Circuit Capability in 3D Trench SiC MOSFET Designs
Visualization of P+ JTE embedded rings used for peripheral protection of high voltage Schottky diodes by the Optical Beam Induced Current (OBIC) technique
Design and Characterization of an Optical 4H-SiC Bipolar Junction Transistor
Raman and Kerr frequency comb in a 4H-silicon-carbide on insulator based microresonator
PRESENTER: Adnan Ali Afridi
Channel density design guidelines for the transient characteristics of SiC trench gate MOSFETs
Analysis and Optimization of the Super Junction SiC MOSFET Parasitic Capacitances
Fabrication of wafer-level vacuum-packaged 3C-SiC resonant microstructures grown on <111> and <100> silicon
Study of the variation of the charge carrier lifetime profile in the drift region of planar 4H-SiC MOSFETs
16:30-18:30 Session 17D: Poster Session Tu.C
Location: Foyer Ulisse
Controlling directionality of emission from quantum defects through microstructures in Silicon Carbide
Combustion synthesis of SiC towards preparation of quantum sensors
Determination of SiC MOS interface roughness from TEM image by using machine learning
Silicon Carbide process defect characterization and failure analysis turnaround enhancement with inline SEMVision® G3MAXFIB application at STMicroelectronics production line
Confocal three-dimensional characterization model of silicon vacancy prepared by helium ion microscopy
Evaluation of Basal Plane Dislocation Behavior near Epilayer and Substrate Interface
Analysis of dislocation directions by x-ray topography as a tool to understand thermal stress during SiC crystal growth
Practical Improvement of Noncontact Production Monitoring of Doping in SiC wafer with Extended Epilayer Defects
Non-Destructive Quantification of Sub-Surface Damage Depth Distribution in 4H-SiC Wafers Using Laser Light Scattering
Dual configuration of shallow acceptor levels in 4H-SiC
Electrical charge transition levels in proton-irradiated 4H silicon carbide: towards the identification of the TS color center
Crystal originated defect monitoring and reduction in production grade SmartSiC engineered substrates
High-temperature reorganization behavior of porous 4H-SiC thin foils
Carrier Compensating Center Density in N-type Layers Formed by Ion Implantation into High-Purity Semi-Insulating 4H-SiC Substrates
Properties of Z1 and Z2 Defects in 4H-SiC Revealed by Capacitance Transient Spectroscopy and Photoinduced Transient Spectroscopy Using the Laplace-Transform Algorithm
Scanning tunneling luminescence studies of step-bunched 4H-SiC surfaces
DLTS and MCTS analysis of defects in 4H-SiC induced by neutron irradiation
Wednesday, September 20th

View this program: with abstractssession overviewtalk overview

08:30-10:30 Session 18A: Devices 3: Bipolar operation in SiC technology & late news
08:30
Development of Elemental Technologies for SiC Superjunction Structure and SiC-IGBT Voltage Withstanding Layer (invited paper)
09:00
Impacts of Single Shockley Stacking Faults on Electrical Characteristics of 4H-SiC PiN Diodes
09:20
Comparison of the Surge Current Capabilities of SBD-Embedded and Conventional SiC MOSFETs
09:40
The impact of gamma irradiation on 4H-SiC bipolar junction inverters under various biasing conditions
10:00
Over 600°C operation of a bottom-gate p-JFET with double-well structure fabricated by ion implantation on an n-type SiC epilayer
PRESENTER: Shunya Shibata
08:30-10:30 Session 18B: Material 2: Epitaxial growth
Location: Ulisse
08:30
High-Volume SiC Epitaxial Layer Manufacturing - Maintaining High Materials Quality of Lab Results in Production (invited paper)
PRESENTER: Bernd Thomas
09:00
Epitaxial thickness uniformity observation on different 8 inch 4H-SiC substrates.
09:20
Formation of basal plane dislocations by stress near epi/sub interface of 150 mm diameter SiC wafers with thick epitaxial layers
09:40
The optimisation and characterisation of 4H-SiC layers for high voltage (>10 kV) devices
10:00
Investigating the Influence of Various Hydrocarbons on CVD Epitaxial Growth of 4H-SiC: Surface Morphology and Properties
PRESENTER: Misagh Ghezellou
11:00-12:30 Session 19B: Applications 1
Location: Ulisse
11:00
Threshold Voltage Instability in SiC MOSFETs: Analysis and Modeling (invited paper)
PRESENTER: Matteo Meneghini
11:30
Effects of high gate voltage stress on threshold voltage stability in planar and trench SiC power MOSFETs
11:50
Origin and Recovery of Negative Vth Shift on 4H-SiC MOS Capacitors: an Analysis Based on Inverse Laplace Transform and Temperature-Dependent Measurements
12:10
Analysis of Forward Bias Degradation Reduction in 4H-SiC PiN Diodes on Bonded Substrates
PRESENTER: Hidetsugu Uchida
14:00-16:00 Session 20A: Process 4: Implantation-based processing
14:00
Advances in Suppressing Bipolar Degradation in SiC Devices: Carrier Lifetime Control and Proton Implantation (invited paper)
14:30
Processing and electrical characterization of SiC-on-Insulator structures
14:50
High-energy ion channeling for deep implantation of Al into 4H-SiC
15:10
Enabling the next generation of SiC devices through low resistivity Al doped layers formed using high dose high temperature Aluminum implants and Laser annealing
15:30
Observation of the c-axis direction in 4H-SiC for channeling ion implantation by the optical method
14:00-16:00 Session 20B: Quantum 2: Single-photon sources and quantum sensing
Location: Ulisse
14:00
Silicon carbide based optical frequency comb (invited paper) (abstract)
14:30
Grayscale hard-mask lithography protocol for the fabrication of high aspect ratio microstructures in silicon carbide
14:50
Improved Magnetic Sensing with the Silicon Vacancy in Isotopically-Purified 4H-SiC
15:10
Electrical detection of nuclear spins in silicon carbide using silicon vacancy quantum spins in ambient conditions
15:30
Ab initio study of oxygen-vacancy defect in 4H-SiC: A potential qubit
16:30-18:30 Session 21A: Poster Session We.D
Location: Le Ginestre
Experimental demonstration of ultrafast SiC MOSFET overload protection using embedded current and temperature sensors
Analysis of Electrothermal Imbalance of Hard-Switched Parallel SiC MOSFETs Through Infrared Thermography
Reliability of SiC MOSFETs in the elastic-plastic deformation regime under fast power pulses
High-speed Switching Operation of a SiC Power MOSFET at High-temperature Using a SiC CMOS Gate Driver Installed Inside a Power Module
Photoluminescence analysis of heavy-ion-degraded SiC power MOSFETs
Study of the bias driven threshold voltage drift of 1.2 kV SiC MOSFETs in power cycling and high temperature gate bias tests
A Sulfur-doped n-JFET for a reduced logic threshold voltage shift in a SiC CJFET inverter
Dynamic On-State Resistance and Threshold-Voltage Instability in SiC MOSFETs
Advanced Stability Analysis based on Virtual Prototyping: Impact of Device Characteristics on Paralleling SiC power MOSFETs
Venus Surface Environmental Chamber Test of SiC JFET-R Multi-Chip Circuit Board
Heavy-ion induced gate damage mechanisms in SiC trench MOSFETs
250 m thick detectors for neutron detection: carrier lifetime, design, electrical characteristics, and detector performances.
Ultra-thin (<1 µm) Silicon Carbide free-standing membranes as beam intensity and position monitors for soft x-ray beamlines
Radiation Hardness Study of Silicon Carbide Sensors under High-Temperature Proton Beam Irradiations
Investigation of potential impact of nitridation process on Single Event Gate Rupture tolerance in SiC MOS Capacitors
16:30-18:00 Session 21B: Poster Session We.A
Location: Nettuno
Effects of Post-Annealing on Temperature dependent Electrical Characteristics of Ni/(Al0.1Ga0.9)2O3/4H-SiC Schottky Barrier Diodes
PRESENTER: Young-Hun Cho
Understanding the Material Loss in the Laser Based SiC Wafer
Systematic evaluation of contamination behavior originated from innovative spray coated tantalum carbide coating on graphite parts used in SiC epitaxy processes in comparison to conventional CVD coated ones
SmartSiCTM Substrates: A Boon to Drain Metallization Process
Polarity effect on the heteroepitaxial growth of BxC on 4H-SiC by CVD
Rapid growth of SiC single crystals using CVD-SiC block sources via physical vapor transport method
Effects of Solution Properties on Growth Conditions of SiC Solution Growth
GaN cap UV spectroscopy assessment in AlGaN/GaN HEMT
Physical Vapor Deposition of a-SiC thin films for optical applications
3C-SiC on Si substrates through transformation of Si and C multilayers
A study of process interruptions during pre- and post-buffer layer epitaxial growth for defect reduction in 4H SiC
Thermochromic properties of 3C-, 6H- and 4H-SiC polytypes up to 500°C
Macro step bunching/debunching engineering on 4°-off 4H-SiC (0001) to control the BPD-TED conversion ratio by Dynamic AGE-ing®
16:30-18:30 Session 21C: Poster Session We.B
Location: Foyer Sirene
Investigating the impact of plasma treatment on the characteristics of NiAl alloy contacts on heavily doped n-type 4H-SiC
A Comparative Study of the Self-Aligned Channel Processes for 4H-SiC VDMOSFET
Empirical model of backside low-ohmic nickel contact formation on n-type 4H-SiC
Dicing process for 4H-SiC wafers by plasma etching using high-pressure SF6 plasma with metal masks
Shape stability of electrochemically etched 4H-SiC cantilevers after high-temperature annealing
Laser annealing induced formation of low-ohmic nickel contacts on n-type 4H-SiC by surface roughness dependent laser fluence optimization
Reduction of the SiC trench sidewalls striations.
Carbon control method in SIC MOSFET with Chlorine and Vth stability
Shallow interface states in SiC MOS devices fabricated by oxidation of amorphous silicon thin films
Efficient Nanotaper Edge Couplers in PECVD Amorphous Silicon Carbide for Integrated Photonics Applications
PRESENTER: Yaoqin Lu
Free-standing 3C-SiC p-type doping by Al ion implantation
High-Temperature Characterization of Interface and Near-Interface Traps in 4H-SiC MOS Capacitor with Full-Distributed Circuit Model
Transient-enhanced diffusion of implanted aluminum in 4H-SiC
Dopant activation comparison in phosphorus and nitrogen implanted 4H-silicon carbide
High-speed planarization of GaN (0001) substrate using catalyst-referred etching enhanced with positive-biased photoelectrochemical oxidation
A SiO2/SiC interface formed by direct bonding of SiO2 and SiC
Evolution of the substitutional fraction on post-implantation annealing in Al/4H-SiC systems
TDDB in 4H-SiC power MOSFETs under positive and negative constant bias and constant current stresses
Characteristics of Photoelectrochemical Oxidation Enabling High-efficiency Polishing of Gallium Nitride
16:30-18:30 Session 21D: Poster Session We.C
Location: Foyer Ulisse
Estimation of electron drift mobility along the c-axis in 4H-SiC by using vertical Schottky barrier diodes
Analysis of Defect Structures During the Early Stages of PVT Growth of 4H-SiC Crystals
Investigation of the Trapping and Detrapping Behavior by the On-State Resistance at Low Off-State Drain Bias in Schottky p-GaN Gate HEMTs
Compressed Sensing for High-Throughput, High-Sensitivity Inspection of Silicon Carbide Wafers
Early Detection of Bar-Shaped 1SSF before Expansion by PL Imaging
Analysis of Deep Level Traps in Post-annealed κ-Ga2O3/SiC Heterojunction Diode Grown by Mist-CVD
PRESENTER: Tae-Hee Lee
Charge carrier capture from prominent defect centers in 4H-SiC
PRESENTER: Orazio Samperi
Study of lattice recovery induced by thermal activation processes in P-implanted 4H-SiC epitaxial layers
Development of Automated 3-channel Inspection Analysis Technique for Defects of SiC Epitaxial Wafers using Optical Inspection, Photoluminescence and X-ray Topography
Accuracy of EVC Method for the PiN Diode Pattern on SiC Epi-Wafer
Interatomic potentials with ab-initio accuracy for defect and growth simulations in SiC
Near interface defect decomposition during NO annealing analyzed by molecular dynamics simulations
On the Relationship of Epitaxial Defects and Processing Parameters to Yield and Reliability of Gate Oxides on 4H-SiC
Comparison of novel charge-based wafer inspection technique to optical defect mapping techniques
In-line charaterization of HPSI SiC wafers using high resolution surface photovoltage spectroscopy (HR-SPS)
Monitoring of graphene properties in the process of viral biosensor manufacturing
Electrical and structural properties of ohmic contacts of SiC diodes fabricated on thin wafers
Thursday, September 21st

View this program: with abstractssession overviewtalk overview

08:30-10:30 Session 22A: Process 5: Deposited Oxides
08:30
Design of Al2O3/LaAlO3/SiO2 Gate Stack on Various Channel Planes for High-Performance 4H-SiC Trench Power MOSFETs (invited paper)
09:00
Integrated Atomic Layer Deposition Gate Dielectric Stacks for SiC MOSFET Power Devices
09:20
High-k Gate Dielectric for High-Performance SiC Power MOSFET Technology with Low Interface Trap Density, Good Reliability (ttddb≥ 104s), and High Thermal Stability (≥ 800 oC)
09:40
Analysis of SiO2/SiC interfaces formed by ALD, LPCVD or direct thermal growth and their impact on high temperature, long-term oxide reliability
10:00
Towards functional Al2O3/AlN dielectric stacks on 4H-SiC by thermal and plasma enhanced Atomic Layer Deposition
08:30-10:30 Session 22B: Material 3: Surface processing and epi growth
Location: Ulisse
08:30
Mitigation of BPD Faulting Using H2 Etch for Pulsed Power Applications (invited paper)
09:00
Characterisation of Silicon Carbide surfaces prepared by PPDE
09:20
Surface structuring of patterned 4H-SiC surfaces using a SiC/Si/SiC sandwich approach
09:40
Hydrogen etching process of 4H-SiC (0001) in limited regions
10:00
Characterization of very thin 3C-SiC epilayers on Si
11:00-12:30 Session 23A: Devices 4: Short circuit, avalanche and reliability
11:00
Investigation of the short-circuit withstand time and on-resistance trade-off of 1.2 kV 4H-SiC Power MOSFETs
11:20
On the TCAD Modeling of Non-Permanent Gate Current Increase During Short-Circuit Test in SiC MOSFETs
11:40
UIS ruggedness of parallel 4H-SiC MOSFETs
12:00
Investigations into the impact of deposition or growth techniques on the field oxide TID response in 4H-SiC space applications
11:00-12:30 Session 23B: Process 6: Metal/SiC interface
Location: Ulisse
11:00
Carrier transport and barrier height of S+-implanted SiC Schottky barrier diodes
11:20
Reduction of contact resistivity at non-alloyed SiC ohmic contacts based on understanding of tunneling phenomena
11:40
Formation of non-alloyed ohmic contacts on heavily Al+-implanted p-type SiC
PRESENTER: Kotaro Kuwahara
12:00
Stacking faults and space charge limited current in 4H-SiC Schottky diodes
14:00-16:00 Session 24A: Defects 3: Interface defects and carrier lifetime
14:00
Non-Destructive Characterization of Buried Damage in SiC Substrates". No mater how good bulk SiC substrates and epi processes are, proper polishing/CMP and characterization of the surface and subsurface damage are critical for device yield and reliability (invited paper) (abstract)
14:50
Investigation of the near interface oxide defects in a SiO2-SiC system using optical excitation
15:10
Unique localization of conduction band wavefunction near SiO2/4H-SiC interface by applied electric field
15:30
Doping and Temperature Dependence of Carrier Lifetime in 4H SiC Epitaxial Layers
14:00-16:00 Session 24B: Applications 2
Location: Ulisse
14:00
Lifetime Modeling of the 4H-SiC MOS Interface in the HTRB Condition Under the Influence of Screw Dislocations (invited paper) (abstract)
14:30
Unique Failure Mode of SiC MOSFETs under Accelerated HTRB
14:50
3rd Quadrant Surge Current SOA of SiC MOSFETs with Different Voltage Classes
PRESENTER: Mohamed Alaluss
15:10
Power Cycling Performance of 3.3 kV SiC-MOSFETs and the Impact of the Thermo-Mechanical Stress on Humidity Induced Degradation
16:30-18:30 Session 25A: Posters Session Th.D
Location: Le Ginestre
Electrically Detected Magnetic Field Sensing of Quantum Centers in SiC Junction Field Effect Transistors
OPuS-MAGNM – Progress on Miniaturized Optically Pumped Solid State Quantum Magnetometers for Space Applications
Selection rules in the excitation of the divacancy and the nitrogen-vacancy pair in 4H- and 6H-SiC
Optical spectroscopy of single O-band vanadium emitters in SiC with ultra-narrow inhomogeneous distribution
Photoluminescence polarization of single TS centers in 4H-SiC
Formation of color centers at SiO2/SiC interfaces by thermal oxidation and its correlation with electrical properties
16:30-18:30 Session 25B: Poster Session Th.A
Location: Nettuno
Influence of Channel Length and Gate oxide Thickness Variations in 3300 V 4H-SiC VDMOSFET
Analysis of Gain Fluctuation for SiC Amplifier with Radiation Hardness
Optimization of Single-Event Burnout Resistance 1.2 kV SiC Schottky Barrier Diode
Anisotropic stress observation of 4H-SiC Trench-Test MOSFET structures by Scanning Near-field Optical Raman Microscope
Ultra-High-Voltage SiC-IGBT for Modular-Multilevel-Converters in HVDC
Comparison of Si CMOS and SiC CMOS Operational Amplifiers
Gamma-ray irradiation effects on 4H-SiC n/p MOSFETs with POA treatment.
Minimum Channel Length for Suppressing Short-Channel Effects in SiC JFETs
Amorphous silicon-carbide modulator based on the thermo-optic effect
Modeling the charging of gate oxide under high electric field
Implicit differentiable models for wide temperature range SiC Schottky diode characterization
AFM-sMIM analysis of the recombination enhancing layer for bipolar-degradation-free SiC MOSFETs
Analytical Modeling of Conduction, Blocking and Breakdown Operation of a Monolithically Integrated 4H-SiC Circuit Breaker Device Technology
Unclamped inductive switching response of SiC MOSFETs under extreme slow transient
Early-stage reliability evaluation of passivation stack and termination designs in SiC MPS diodes
PRESENTER: Davood Momeni
500°C High-Temperature Characteristics of TiN-gate SiC n/p MOSFETs
Radiation Dose Response of 4H-SiC UV Sensor for MGy-Class Radiation Hardened CMOS UV Imager
Temperature-Dependent Evaluation of Commercial 1.2 kV, 40 mΩ 4H-SiC MOSFETs: A Comparative Study between Planar, One-side Shielded Trench, and Double Trench Gate Structures
16:30-18:30 Session 25C: Poster Session Th.B
Location: Foyer Sirene
Impact of Different Schottky Barrier Diode Ratios on Static and Dynamic Characteristics of embedded SBD-MOSFET at Different Temperatures
Increasing 4H-SiC Trench Depth by Improving the Dry-Etch Selectivity Towards the Oxide Hard Mask
Analysis of Lattice Damage in 4H-SiC Epiwafers Implanted with High Energy Al Ions at Elevated Temperatures
Plasma Treatment after NiSi-based Ohmic Contact Formation on 4H-SiC to Enhance Adhesion of Subsequent Backside Metallization
PRESENTER: Tom Becker
Metal Contact Processing Experiments Towards Realizing 500 °C Durable RF 4H-SiC BJTs
Impact of the p base junction depth on electrical properties of 4H SiC trench MOSFETs with the double p base structure
Impact of Al ion implantation on 4H-SiC epitaxial layer
Ohmic contact resistance in SiC diodes with Ti and NiSi P+ contacts
Optimization of Reflectance Spectroscopy for Transparent Layers on 4H-SiC
Comparison of polar-face and non-polar faces 4H-SiC/SiO2 interfaces revealed by magnetic resonance and related techniques
Prediction of contact resistance of 4H–SiC by machine learning using optical microscope images after laser doping
High-quality micro/nano structures of 4H-SiC patterning by vector femtosecond laser
A novel approach for thin 4H-SiC foil realization using controlled spalling from a 4H-SiC wafer
Temperature dependence of gate oxide breakdown and C-V properties on 4H-SiC between room temperature and 500 °C
Performance improvement by carbon-dioxide supercritical fluid treatment for 4H-SiC Vertical Double Diffusion MOSFETs
Long Term Reliability and Deterioration Mechanisms of High Temperature Metal Stacks on 4H-SiC
A comparison between different post grinding processes on 4H-SiC wafers
Characterization of aluminum and nitrogen implants into silicon carbide using Fourier transform infrared spectroscopy
A Comparative Study of the Analytical and Finite Elements Approaches to investigate the Equivalent Thickness of Large 4H-SiC Taiko Wafers
16:30-18:30 Session 25D: Poster Session Th.C
Location: Foyer Ulisse
Excited carrier concentration dependence of surface recombination velocities for 4H-SiC with and without passivation
Detection of Very Fast Interface Traps at 4H-SiC/AlN and 4H-SiC/Al2O3 Interfaces
Study on electron trap states of Al implanted Ni/SiC Schottky barrier diodes using DLTS
Ga2O3/SiC Heterostructure Schottky Diodes
Effect of Sub-micron-sized Micropipes on Electric Properties of SiC Devices
Structural Analysis of Novel Butterfly Defect in 4H-SiC Substrates
Investigation of dislocation behaviors in 4H-SiC under thermal treatment
DFT modeling of crystallographic defects in SiC polytypes
Characterization of Growth Sectors in Gallium Nitride Substrate Wafers
Systematic tracking of defects from substrate to final device by full wafer mapping techniques
Dependence of the silicon carbide radiation resistance on the irradiation temperature.
Epitaxial defectivity characterization combining surface voltage and photoluminescence mapping.
Extended defects and stress relaxation in 3C-SiC grown on compliance Si substrates
An investigation into the enhancement of carrier lifetime in thick 4H-SiC epitaxial layers for bipolar devices
Birefringence image simulation of dislocations in a SiC crystal considering three-dimensional stress fields.
Investigation of BPD Faulting under Extreme Carrier Injection in Room vs High Temperature Implanted 3.3kV SiC MOSFETs
The role of Dit(E) and the capture cross section on the C-V characteristics of 4H-SiC MOS capacitors
Friday, September 22nd

View this program: with abstractssession overviewtalk overview

08:30-10:30 Session 26A: Devices 5: High performance SiC MOSFETs concepts
08:30
Low on-resistance (< 0.7 mΩcm2) 4H-SiC vertical FinFETs with increased threshold voltage by using p-type poly-Si gate (invited paper)
09:00
SiC GAA MOSFET concept for high power electronics performance evaluation through advanced TCAD simulations
09:20
SiC n-channel MOSFETs fabricated on a high-purity semi-insulating substrate
09:40
Experimental Demonstration and Analysis of 3.3kV 4H-SiC Common-Drain Bidirectional Charge-Balanced Power MOSFETs
10:00
Reverse recovery characteristics of 1.2kV SiC-Superjunction-MOSFETs
08:30-10:30 Session 26B: Defects 4: Novel defect characterization techniques
Location: Ulisse
08:30
Depth profiles of deep levels in the whole band gap generated by reactive ion etching near the surface of 4H-SiC (invited paper)
09:00
Emission of Trapped Electrons from the SiC/SiO2-Interface via Photon-Irradiance at Cryogenic Temperatures
09:20
Direct observation of charge density manipulation in 4H-SiC MOS capacitors using low-energy muon spin spectroscopy
09:40
Temperature Dependent Permittivity of (0001) and (11-20) 4H-Silicon Carbide Measured by Electrical Impedance Spectroscopy
10:00
Atom probe tomography characterization of SiO2/4H-SiC interface
11:00-12:30 Session 27A: Devices 6: Gate dielectric engineering
11:00
Suppression of subthreshold drain leakage via implementation of high-κ dielectrics for advanced SiC power MOSFETs
PRESENTER: Sami Bolat
11:20
High Mobility 4H-SiC p-MOSFET via ultrathin ALD B2O3 interlayer between SiC and SiO2
11:40
Modelling-augmented failure diagnostics in planar SiC MOS devices using TDDB measurements
12:00
Extraction of SiO2/4H-SiC interface trap charge by TCAD simulation
11:00-12:30 Session 27B: Material 4: Emerging growth technologies
Location: Ulisse
11:00
Geometrical modification of the starting surface for three-dimensional epitaxy of low defect 3C-SiC
11:20
Novel Graphene and SiC Epitaxy to Enable Film Transfer
11:40
Epitaxial growth of GaN and 4H-SiC layers on 4H-SiC vicinal off angle substrates for GaN/SiC hybrid devices
12:00
Demonstration of SiC-on-insulator substrate with Smart Cut™ technology for photonic applications