ICSCRM 2023: INTERNATIONAL CONFERENCE ON SILICON CARBIDE AND RELATED MATERIAL 2023
PROGRAM FOR WEDNESDAY, SEPTEMBER 20TH
Days:
previous day
next day
all days

View: session overviewtalk overview

08:30-10:30 Session 18A: Devices 3: Bipolar operation in SiC technology & late news
08:30
Development of Elemental Technologies for SiC Superjunction Structure and SiC-IGBT Voltage Withstanding Layer (invited paper)
09:00
Impacts of Single Shockley Stacking Faults on Electrical Characteristics of 4H-SiC PiN Diodes
09:20
Comparison of the Surge Current Capabilities of SBD-Embedded and Conventional SiC MOSFETs
09:40
The impact of gamma irradiation on 4H-SiC bipolar junction inverters under various biasing conditions
10:00
Over 600°C operation of a bottom-gate p-JFET with double-well structure fabricated by ion implantation on an n-type SiC epilayer
PRESENTER: Shunya Shibata
08:30-10:30 Session 18B: Material 2: Epitaxial growth
Location: Ulisse
08:30
High-Volume SiC Epitaxial Layer Manufacturing - Maintaining High Materials Quality of Lab Results in Production (invited paper)
PRESENTER: Bernd Thomas
09:00
Epitaxial thickness uniformity observation on different 8 inch 4H-SiC substrates.
09:20
Formation of basal plane dislocations by stress near epi/sub interface of 150 mm diameter SiC wafers with thick epitaxial layers
09:40
The optimisation and characterisation of 4H-SiC layers for high voltage (>10 kV) devices
10:00
Investigating the Influence of Various Hydrocarbons on CVD Epitaxial Growth of 4H-SiC: Surface Morphology and Properties
PRESENTER: Misagh Ghezellou
11:00-12:30 Session 19B: Applications 1
Location: Ulisse
11:00
Threshold Voltage Instability in SiC MOSFETs: Analysis and Modeling (invited paper)
PRESENTER: Matteo Meneghini
11:30
Effects of high gate voltage stress on threshold voltage stability in planar and trench SiC power MOSFETs
11:50
Origin and Recovery of Negative Vth Shift on 4H-SiC MOS Capacitors: an Analysis Based on Inverse Laplace Transform and Temperature-Dependent Measurements
12:10
Analysis of Forward Bias Degradation Reduction in 4H-SiC PiN Diodes on Bonded Substrates
PRESENTER: Hidetsugu Uchida
14:00-16:00 Session 20A: Process 4: Implantation-based processing
14:00
Advances in Suppressing Bipolar Degradation in SiC Devices: Carrier Lifetime Control and Proton Implantation (invited paper)
14:30
Processing and electrical characterization of SiC-on-Insulator structures
14:50
High-energy ion channeling for deep implantation of Al into 4H-SiC
15:10
Enabling the next generation of SiC devices through low resistivity Al doped layers formed using high dose high temperature Aluminum implants and Laser annealing
15:30
Observation of the c-axis direction in 4H-SiC for channeling ion implantation by the optical method
14:00-16:00 Session 20B: Quantum 2: Single-photon sources and quantum sensing
Location: Ulisse
14:00
Silicon carbide based optical frequency comb (invited paper)

ABSTRACT. Optical frequency comb (OFC) is a new discovery, whose impact is expected to be as profound as the invention of laser if not bigger, covering wide applications of optical communication, biosensing, quantum technology etc. The development of OFC is towards miniature and energy efficiency reflected by the journey from mode-locked OFC through fiber laser OFC to microcomb. Although the microcomb is a very new concept, different materials have demonstrated it leveraging the third-order nonlinearity of the material and dispersion control at nanometer scale. Among them, SiC is emerging. In this invited talk, both Kerr comb and Raman comb are demonstrated on 4H silicon carbide on insulator (SiCOI) platform after SiCOI waveguide loss reduction, coupling scheme optimization and dispersion engineering. Amorphous SiC shows even higher nonlinear refractive index compared to 4H SiC, which is vital to generate Kerr comb. If the material loss could be optimized to the same level of 4H SiC, it is also very promising to demonstrate Kerr comb from amorphous SiCOI. Challenges and perspectives of SiCOI based comb will the presented at the end of the talk.The support of the European Union's Horizon 2020 FET Open project (SiComb, No. 899679) is gratefully acknowledged.

14:30
Grayscale hard-mask lithography protocol for the fabrication of high aspect ratio microstructures in silicon carbide
14:50
Improved Magnetic Sensing with the Silicon Vacancy in Isotopically-Purified 4H-SiC
15:10
Electrical detection of nuclear spins in silicon carbide using silicon vacancy quantum spins in ambient conditions
15:30
Ab initio study of oxygen-vacancy defect in 4H-SiC: A potential qubit
16:30-18:30 Session 21A: Poster Session We.D
Location: Le Ginestre
Experimental demonstration of ultrafast SiC MOSFET overload protection using embedded current and temperature sensors
Analysis of Electrothermal Imbalance of Hard-Switched Parallel SiC MOSFETs Through Infrared Thermography
Reliability of SiC MOSFETs in the elastic-plastic deformation regime under fast power pulses
High-speed Switching Operation of a SiC Power MOSFET at High-temperature Using a SiC CMOS Gate Driver Installed Inside a Power Module
Photoluminescence analysis of heavy-ion-degraded SiC power MOSFETs
Study of the bias driven threshold voltage drift of 1.2 kV SiC MOSFETs in power cycling and high temperature gate bias tests
A Sulfur-doped n-JFET for a reduced logic threshold voltage shift in a SiC CJFET inverter
Dynamic On-State Resistance and Threshold-Voltage Instability in SiC MOSFETs
Advanced Stability Analysis based on Virtual Prototyping: Impact of Device Characteristics on Paralleling SiC power MOSFETs
Venus Surface Environmental Chamber Test of SiC JFET-R Multi-Chip Circuit Board
Heavy-ion induced gate damage mechanisms in SiC trench MOSFETs
250 m thick detectors for neutron detection: carrier lifetime, design, electrical characteristics, and detector performances.
Ultra-thin (<1 µm) Silicon Carbide free-standing membranes as beam intensity and position monitors for soft x-ray beamlines
Radiation Hardness Study of Silicon Carbide Sensors under High-Temperature Proton Beam Irradiations
Investigation of potential impact of nitridation process on Single Event Gate Rupture tolerance in SiC MOS Capacitors
16:30-18:00 Session 21B: Poster Session We.A
Location: Nettuno
Effects of Post-Annealing on Temperature dependent Electrical Characteristics of Ni/(Al0.1Ga0.9)2O3/4H-SiC Schottky Barrier Diodes
PRESENTER: Young-Hun Cho
Understanding the Material Loss in the Laser Based SiC Wafer
Systematic evaluation of contamination behavior originated from innovative spray coated tantalum carbide coating on graphite parts used in SiC epitaxy processes in comparison to conventional CVD coated ones
SmartSiCTM Substrates: A Boon to Drain Metallization Process
Polarity effect on the heteroepitaxial growth of BxC on 4H-SiC by CVD
Rapid growth of SiC single crystals using CVD-SiC block sources via physical vapor transport method
Effects of Solution Properties on Growth Conditions of SiC Solution Growth
GaN cap UV spectroscopy assessment in AlGaN/GaN HEMT
Physical Vapor Deposition of a-SiC thin films for optical applications
3C-SiC on Si substrates through transformation of Si and C multilayers
A study of process interruptions during pre- and post-buffer layer epitaxial growth for defect reduction in 4H SiC
Thermochromic properties of 3C-, 6H- and 4H-SiC polytypes up to 500°C
Macro step bunching/debunching engineering on 4°-off 4H-SiC (0001) to control the BPD-TED conversion ratio by Dynamic AGE-ing®
16:30-18:30 Session 21C: Poster Session We.B
Location: Foyer Sirene
Investigating the impact of plasma treatment on the characteristics of NiAl alloy contacts on heavily doped n-type 4H-SiC
A Comparative Study of the Self-Aligned Channel Processes for 4H-SiC VDMOSFET
Empirical model of backside low-ohmic nickel contact formation on n-type 4H-SiC
Dicing process for 4H-SiC wafers by plasma etching using high-pressure SF6 plasma with metal masks
Shape stability of electrochemically etched 4H-SiC cantilevers after high-temperature annealing
Laser annealing induced formation of low-ohmic nickel contacts on n-type 4H-SiC by surface roughness dependent laser fluence optimization
Reduction of the SiC trench sidewalls striations.
Carbon control method in SIC MOSFET with Chlorine and Vth stability
Shallow interface states in SiC MOS devices fabricated by oxidation of amorphous silicon thin films
Efficient Nanotaper Edge Couplers in PECVD Amorphous Silicon Carbide for Integrated Photonics Applications
PRESENTER: Yaoqin Lu
Free-standing 3C-SiC p-type doping by Al ion implantation
High-Temperature Characterization of Interface and Near-Interface Traps in 4H-SiC MOS Capacitor with Full-Distributed Circuit Model
Transient-enhanced diffusion of implanted aluminum in 4H-SiC
Dopant activation comparison in phosphorus and nitrogen implanted 4H-silicon carbide
High-speed planarization of GaN (0001) substrate using catalyst-referred etching enhanced with positive-biased photoelectrochemical oxidation
A SiO2/SiC interface formed by direct bonding of SiO2 and SiC
Evolution of the substitutional fraction on post-implantation annealing in Al/4H-SiC systems
TDDB in 4H-SiC power MOSFETs under positive and negative constant bias and constant current stresses
Characteristics of Photoelectrochemical Oxidation Enabling High-efficiency Polishing of Gallium Nitride
16:30-18:30 Session 21D: Poster Session We.C
Location: Foyer Ulisse
Estimation of electron drift mobility along the c-axis in 4H-SiC by using vertical Schottky barrier diodes
Analysis of Defect Structures During the Early Stages of PVT Growth of 4H-SiC Crystals
Investigation of the Trapping and Detrapping Behavior by the On-State Resistance at Low Off-State Drain Bias in Schottky p-GaN Gate HEMTs
Compressed Sensing for High-Throughput, High-Sensitivity Inspection of Silicon Carbide Wafers
Early Detection of Bar-Shaped 1SSF before Expansion by PL Imaging
Analysis of Deep Level Traps in Post-annealed κ-Ga2O3/SiC Heterojunction Diode Grown by Mist-CVD
PRESENTER: Tae-Hee Lee
Charge carrier capture from prominent defect centers in 4H-SiC
PRESENTER: Orazio Samperi
Study of lattice recovery induced by thermal activation processes in P-implanted 4H-SiC epitaxial layers
Development of Automated 3-channel Inspection Analysis Technique for Defects of SiC Epitaxial Wafers using Optical Inspection, Photoluminescence and X-ray Topography
Accuracy of EVC Method for the PiN Diode Pattern on SiC Epi-Wafer
Interatomic potentials with ab-initio accuracy for defect and growth simulations in SiC
Near interface defect decomposition during NO annealing analyzed by molecular dynamics simulations
On the Relationship of Epitaxial Defects and Processing Parameters to Yield and Reliability of Gate Oxides on 4H-SiC
Comparison of novel charge-based wafer inspection technique to optical defect mapping techniques
In-line charaterization of HPSI SiC wafers using high resolution surface photovoltage spectroscopy (HR-SPS)
Monitoring of graphene properties in the process of viral biosensor manufacturing
Electrical and structural properties of ohmic contacts of SiC diodes fabricated on thin wafers