ICSCRM 2023: INTERNATIONAL CONFERENCE ON SILICON CARBIDE AND RELATED MATERIAL 2023
TALK KEYWORD INDEX

This page contains an index consisting of author-provided keywords.

(
(000−1) surface
-
- 4H SiC
- scanning tunneling luminescence microscopy
- step-bunched
- sub-gap electroluminescence
- surface defects
1
1.2 kV
1.2 kV 4H-SiC MOSFET
1.2kV SiC MOSFETs
150mm
2
200mm
200mm 4H-SiC
200mm SiC
2D electron gas
2D Material
2D materials
2D SiC
2D simulations
3
3-dimensional observation
3.3 kV SiC VDMOSFET
3.3kV
3.3kV MOSFET robustness to BPD faulting
3.3kV SiC MOSFET
3C SiC
3C-SiC
3C-SiC micro-structures
3D simulation
3rd quadrant
4
4-H SiC
4H
4H SiC
4H silicon carbide
4H- Silicon Carbide
4H-SiC
4H-SiC BJT
4H-SiC epitaxial layer characterization
4H-SiC MOSFET
4H-SiC Power MOSFETs
4H-SiC Taiko Wafers
6
650V
6H-SiC
8
8 inch substrate
8-inch SiC
800°C SiC MOSFET characterization
A
a-face
a-SiCOI
ab initio theory
Accelarated testing
Accelerated Tests
Accelerated wafer-level BVR reliability test
Acquisition
Activation
Activation energy
Adhesion
Advanced power cycling
aerospace
AFM
AI
air pocket design
Al
Al implantation
Al ion implantation
Al+ implantation
Al2O3
Al2O3/LaAlO3/SiO2
ALD
AlGaN
AlN
alpaha particles
Alpha particle
Aluminium Gallium Oxide
aluminum
Aluminum doping
aluminum implantation
Ammonothermal
amorphous Si
amorphous SiC
Amorphous silicon carbide
amplifier
Analytical Modelling
Annealing
anode hole injection
Anomalous Hall coefficient
ANSYS thermomechanical simulations
Arcing
Atomic Force Microscopy
Atomic Layer Deposition
Atomic step structure
atomic step velocity
atomically flat surface
ATP Probe
automotive
avalanche
Avalanche breakdown
Avalanche capability
B
back grinding
Backside contact
Backside Ohmic contact
Band-to-band-tunneling
basal plane dislocation
Basal Plane Dislocation (BPD)
beam position monitors
Beam shaping
beamline instrumentation
Bias-temperature instability
Bidirectional Power Device
Bidirectional Switch
bilayer dielectric
binary-collision approximation
biomedical devices
biosensor
biotechnology
bipolar AC stress
bipolar degradation
Bipolar Devices
Bipolar reliability
Bipolar technology
birefringence
Birefringence imaging
BMA cell
body diode
body diode SiC MOSFETs
bonded substrate
Boron carbide
Bosch
Boule testing
BPD
BPD free
Breakdown Field
bright photon emission
buffer
buffer layer
Bulk crystal growth
bulk growth
Bulk inclusion
Burgers vector
buried p+ layer
Burn-in Screening
byproduct
C
c-axis
C-V
cantilever
Capacitance
Capacitance curves
Capacitance-Voltage
Capacitive charge
Capacitive charge (Qc)
Capacitor
capacity expansion
Capping
capture cross section
carbon aggregates
carbon dioxide (CO2)
Carbon flux
carbon in oxide
Carbon vacancy
Carbothermal reduction
carrier lifetime
catalyst-referred etching
catalyzed chemical etching
Cathodoluminescence
CC-DLTS
Cell pitch
CFD simulation
Channel length
Channel Mobility
Channel resistance
Channeling
channeling ion implantation
characterisation
Characterization
Charge carrier capture
charge pumping
Charge transition levels
charge trapping and detrapping
Charge-based wafer inspection
Chemical Mechanical Planarization
Chemical mechanical polishing
Chemical mechanical polishing (CMP)
chemical vapor deposition
Chloride-based chemistry
Chlorine oxidation
Circuit Board
Circuit Breaker
circuit model
Circuit Simulation
Circular TLM
CJFET
cleaning
CMOS
CMOS Image Sensor
CMOS Inverter
CMOS technology
CMP
CMP process
CO oxidation
Co-60
CO2 oxidation
CO2-annealing
coating
coil position
Color
Color centers
Commercialization
Compact modeling
compensating center
compressed sensing
Conductance
Conductance method
Conduction Loss
Conduction Mechanism
confocal photoluminescence spectroscopy
Constant current stress
Constant voltage stress
consumables
Contact
contact resistance
contamination
Contraction
Controlled spalling
convolutional neural network
Cooperativity
Corona
Corona-Kelvin method
Cost of Ownership
cost reduction
Critical Regime
Critical stress time
critical temperature
Cryogenic
cryogenic temperatures
Crystal damage and recovery
Crystal Defects
Crystal growth
Crystallization Behavior
Crystallographic defects
Current sensor
current spreading
Current Transport
curvature
CVD
CVD Epitaxial growth
CVD-SIC block
D
damage free
damage-free dicing
DCDC converter
deep implantation
Deep Level Transient Spectroscopy
deep level trap
Deep-Level Transient Spectroscopy
Defect
defect analysis
defect characterization
Defect curing
defect density
defect engineering
defect imaging
defect inspection
Defect interaction in SiC
Defect maps
Defect Mechanisms
Defect recognition
defect reduction
Defect structure
defectivity
Defects
Defects from High Carrier Injection
degradation
delamination
density functional theory
Deposited Gate Oxide
Depth profiling techniques
Design
Design of Experiments
Design optimization
detector stability
deterministic divacancy synthesis
device characterization
Device fabrication
Device Modeling
Device packaging design for high temperature testing
device simulation
devices
Dewetting
DFT modeling
Diamond
diamond size
dicing
Dielectric Breakdown
dielectric constant
Dielectric stack
dielectrics
Diffusion
Diffusion Barrier
Diode
dipole scattering
Direct bonding of SiO2 and SiC
Directionality
Dislocation
Dislocation Density
dislocation formation
dislocation loops
Dislocation multiplication
dislocation propagation
dislocations
Distributed circuit model
divacancy
divacancy quantum defects
DLTS
DMOS
DMP
Dopant Activation
Doped HfO2
Doping
Doping characterization
doping contrast
Doping density variation
Doping Dependence
double donor
Double Pulse Test Switching (DPTS)
Double Pulse Testing
Double side polishing
Double Trench
Double-Pulse Testing
drain-source capacitance
Drift resistance
drift-zone doping
Dry Etching
dry polishing
duble p base structure
Dynamic AGE-ing (DA)
Dynamic capacitance
Dynamic Characterization
Dynamic On-Resistance
E
EBSD
Edge coupler
Edge grinding
EDMR
EDS
effective mass approximation
Effects of etching processing parameters
ELDRS
electric vehicle
Electrical Characteristics
Electrical characteristics of device
electrical characterization
electrical impedance spectroscopy
electrical spin detection
electrically detected magnetic resonance
Electrically-active defects
Electrification
Electro plating
electrochemical etching
electron drift mobility
electron nuclear double resonance
electron radiations
Electron traps
electronic stopping
electrons
electroplating
Electrothermal imbalance
Embedded SBD
Embedded sensor
energy conversion efficiency
Energy-filter
engineered substrate
Engineered substrates
eoitaxy
Epi stacking fault defect
Epi-ready surface
epigraphene
Epitaxial Defects
Epitaxial growth
Epitaxy
equilibrium diffusion
equivalent circuit
Etch
Etch Optimisation
etch pit density
Etching
Etching Chemistry
ETV-ICP-OES
EV
Excimer laser
excitation dependence
excitonic features
Excluding oxidation process
exhaust tube
expansion velocity
extended defects
Extreme temperature electronics
F
Failure Analysis
failure modes
Fast Sublimation Growth Process Monocrystalline
Fast Switching
Femtosecond laser
Ferroelectric materials
Field Effect Mobility
FinFET effect
Finite Element Analysis (FEA)
first principles calculations
flexibility
flexural strength
floating
focus ring
Formation energy
forward bias degradation
Forward voltage drop
Fowler-Nordheim tunneling
Frequency
FSGP-M
FTIR
Furnace
future technology
G
GaAs
gain
gain fluctuation
Gallium Nitride
Gallium Oxide
Gamma Radiation
gamma-ray
GaN
GaN cap
Gate All Around
Gate Breakdown
Gate current
Gate driver
gate finger
gate leakage
Gate oxide
gate oxide breakdown
Gate oxide carbon control
gate oxide failure
Gate oxide integrity
gate oxide quality improvement
Gate oxide reliability
Gate Oxide Screening
Gate reliability
Gate Ringing
gate runner
Gate Trench
GCT
Graphen
Graphene
graphite felt
graphite materials
Grinding
grinding energy
grinding wheel
Grounding
growth
growth front shape reconstruction
H
H2 treatment
H2 treatment and Interface nitrogen
HAADF-STEM
halide CVD
Hall Effect
harsh environments
HCl
Heavily Al-doped 4H-SiC
Heavy ion
Heavy ions
Heavy-ions
helium ion implantation
HEMT
hetero epitaxy
Heteroepitaxy
Heterogeneous integration
heterojunction
heterojunctions
heterostructure
high carrier lifetime
High cycle fatigue zone
high energy
high energy ion implantation
high power
high readout contrast
High rigid grinding
high temperature
high temperature gate bias
high temperature IC
high temperature ion implantation
High Throughput
High Voltage
High Volume Production
High- temperature electronics
High-k
high-k dielectric
high-k dielectrics
High-k gate dielectric
high-k materials
High-low
high-pressure SF6 plasma
High-speed Photoluminescence mapping
High-speed switching
High-Temperature
high-temperature annealing
high-temperature chemical vapor deposition
high-temperature gas source method
high-temperature irradiation
high-temperature physical properties
Hole traps
homo epitaxy
hot filament
hot-zone design
HPSI
HPSI 4H-SiC wafer charaterization
HRXRD study
HT Anneal and P+ Implant Process Parameters
HTCVD
HTGB
HTRB
Humidity
HVDC
HVPE
Hybrid device
I
I2t
ICP
ICP-OES
Ideality
Identification of stacking faults
Idss leakage current
IFSM Ruggedness
IGBT
IGCT
implant
Implantation
Implantation process
Implicit differentiable models
Impurities
In-grown stacking fault
Inclined line-like defects
Incomplete Ionization
Inductively Coupled Plasma
Industrialization
infrared metrology
Infrared thermography
instability
Integrated Circuit
integrated circuits
integrated optics
Integrated photonics
Interatomic potential
interface
interface carbon defect
Interface characterization
interface defect of SiC/SiO2
Interface defects
Interface engineering
Interface nitrogen
Interface state density
interface states
interface states density
Interface Trap Density
Interface traps
intersystem crossing
Intrinsic body diode
Inverse Laplace Transform
Inverter
Ion
ion beam trimming
Ion implantation
ion slicing
Ionic charges
Ionization energies
irradiated SiC
irradiation
isolation
isotopic enrichment
isotopic purity
J
JBS
JBS diode
JFET
JFET Diode
Junction depth
junction-controlled-diode
K
KGD
Known Good Die
KPFM
L
Laplace deep level transient spectroscopy (LDLTS)
Laplace-transform photoinduced transient spectroscopy (LPITS)
laser ablation processing
Laser Anneal
Laser annealing
Laser doping
Laser Separation
laser split
Lateral
Lateral MOSFET
Lateral SiC MOSFETs
Lateral straggling
layer transfer
Leakage current
Lifetime estimation
Lift-Off
Lindblad Master Equation
Liquid Si
Long Inverted Silicon Pyramids substrates
Long-term reliability
low angle grain boundary
low resistivity
Low Specific On resistance
Low Temperature
low-energy muons
Low-ohmic contacts
lower pressure thermal oxidation
M
m-face
machine learning
Macrostep
Macrostep growth
magnetometer
magnetometers
magnetometry
Manufacturing
MAPCE
Mass transport
Material characterization
Material Loss
material loss free
material removal rate
Material testing
MCTS
mechanical polish
Memory Effect
MEMS
Merged PiN Schottky diode
Mesa structure
metal mask
metal oxides
Metalization
metallic via
Metallization
Metrology
Micro-pipe
micro-PL
micro-Raman
microfabrication
microlens arrays
micropipe
microresonator fabrication
Microring resonator
microstructure
Minority carrier transient spectroscopy
mirror electron microscope
Mist-CVD
Mobility
mobility model
MOCVD
Model
modeling
Modelling
modular multilevel converters
module
module architecture
Molecular dynamics
molecular dynamics simulations
Monolithic Integration
Morphological analysis
MOS
MOS Capacitor
MOS Capacitors
MOS Cell
MOS channel mobility
MOS devices
MOS interface
MOS structure
MoS2
MOScapacitor
MOSFET
MOSFET processing
MOSFETs
MOSFETs in parallel
motor drive
MPS diode
MRR
Multi-Epi
Multi-Layer Epi
multi-physics modeling
Multi-Wafer Reactor
muon spin spectroscopy
N
n-channel
nano-FTIR
Nanofabrication
nanopipe
Nanosecond Laser Annealing
nanosheets
Near interface traps
Near-field Raman
near-interface traps
Negative Vth Shift
neural interface
neural interfaces
neutron detectors
neutron irradiation
NiAl
NiAl alloy contacts
Nickel contact
Nickel silicidation
Nickel silicide
Nickel Silicide formation
NIOTs
NiSi and Ti P+ Contacts
Nitridation
nitrogen
Nitrogen Plasma
Nitrogen surface doping
nitrogen vacancy pair
NO annealing
non alloyed
non-abrasive slurry
Non-alloyed ohmic contact
Non-contact CV
non-polar face
non-radiative spin relaxation
non-stoichiometric source
noncontact
nonpolar face
Novel Defect
Novel high-k gate dielectric
nuclear magnetic resonance
nuclear spin
numerical modeling
numerical simulation
O
ODMR
off-axis seed crystal
Ohmic
Ohmic contact
Ohmic contacts
On-line monitoring
on-resistance of Power MOSFET
On-state Resistance
Ones-sided shielded Trench
Optical Beam Induced Current
Optical BJT
optical cavities
Optical excitation
Optical inspection
optical method
optical microscope image
Optical Microscopy
optical modeling
Optical Properties
optical spectroscopy
optically detected magnetic resonance
optimization
Oxidation
Oxidation processes
Oxide Defects
Oxide traps
oxygen defect
P
p base
P+ Ohmic Contact Resistance
p-channel
p-channel MOSFET
p-diode model
p-n junctions
P-shielding
p-type
p-type contact resistance
p-type poly-Si gate
p-type SiC
package architecture
Packaging
pad
Parallel SiC MOSFETs
paralleling
Parameter optimization
Parasitic Turn On
passivation
Patterned Substrate
Patterned surface
PbC center
Peripheral Protection
permittivity
phase field
phase transformation
phase-change
photo physics
Photo-electrochemical etching
photo-modulated reflectance
photocurrent detected magnetic resonance
Photodiode
photoelectrochemical oxidation
photolithography
photoluminescence
Photoluminescence spectroscopy
photomask layout
photon-assisted electron injection
Photonic
photonic devices
Physical model
physical vapor transport growth
PiN Diodes
pin-diode
Pinched Barrier Rectifier (PBR)
Pixel Devices
Planar
Planar MOSFET
planetary science
plasma etching
Plasma treatment
Plasmonic
PMR
PN diode
PND
POA
Point defects
polar face
polarity
Polarized light microscopy
Polish
Polish Grinding
Polishing
polycrystalline SiC
polycrystalline Silicon Carbide
Polytype stability
polytypes
Porosification
Porous SiC
Positive and negative polarization stress
Positively beveled mesa termination
Post-deposition annealing
Power
power cycling
power cycling test
Power device
Power devices
Power module
Power Modules
Power MOSFET
Power MOSFETs
Power semiconductor
power SiC
Power switching cells
powertrain
pre-treatment process
precipitates
precision
predictive modeling
preferential orientation
Prismatic dislocations
process interruptions
process simulation
Process variation
processes
Processing
Production line
Protection
protection overcoat design
proton implantation
proton irradiation
protons
pulsed electron paramagnetic resonance spectroscopy
pulsed forward current
purification
purified graphite
PVD
PVT
PVT growth
PVT SiC
Q
Q-factor
QUAD mapping
quality
Quantum
quantum applications
quantum bits
quantum centers
Quantum defects
Quantum devices
Quantum emitter
quantum memory
quantum networks
Quantum Sensing
Quantum well
Quantum well effect
quasi-substrate
R
Rad-hard
Radiation
radiation detector
radiation hard sensors
Radiation Hardening
radiation hardness
radiation sensors
Radiation-Hard
Radiation-hardness
Radiation-Induced characterization
radiaton hard sensors
radiotherapies
Raman com measurement
Raman spectroscopy
Raman/SERS
Range
Rapid growth
rapid thermal processing
RDSON
Reactive Ion Etching
reactive materials
Recombination Enhanced Dislocation Glide
Recovery
recycled powder lump
reduced pressure oxidation
Reflectance Spectroscopy
Regional Coverage
Reliability
Remote Epitaxy
removal
removal rate
repeated surge stress
resistance
resistance integration
resistivity
resistivity map
Resistivity measurement
Reverse recovery
Reverse Recovery Energy
review
RF
RF sputtering
RIE
RONSP
room temperature
Room temperature bonding
roughness
Rounded Corner
Ruggedness
S
Sandwich
SBD-MOSFET
scaling
Scaling Up
Scanning Capacitance Microscopy
scanning electron microscope
scanning electron microscopy
Scanning Probe Microscopy
Scanning Spreading Resistance Microscopy
Schockley stacking faults
Schottky barrier diode
Schottky Barrier Diodes
Schottky Barrier Height (SBH)
Schottky Barrier Height Inhomogeneity
Schottky contacts
Schottky diode
Schottky diodes
Schottky emission
scratch induced dislocation
SCWT
secondary electron doping contrast
secondary ion mass spectrometry
selection rules in excitation
Selectivity
self heating
Self-aligned channel
SEM
SEM Vision
semi-insulating substrate
Semiconductor substrates
semiconductors
sensing
Sensor
sensors
shallow ion implantation
Shockley-Type Stacking Fault
Short channel effects
short circuit
Short circuit withstand time
Short Step Bunching (SSB)
short-channel effects
Short-circuit
Si-face
SiC
SiC Bipolar Device
SiC Boule Fabrication
SiC Boule Processing
SiC Boule to Puck Conversion
SiC bulk growth
SiC Charge-Balanced MOSFET
SiC CMOS
SiC crystal growth
SiC defect
SiC defect characterization
SiC diode
SiC Epi
SiC Epitaxy
SiC GTO
SiC Ingot Fabrication
SiC Integrated circuits
SiC layer transfer
SiC Lifetime enhancement
SiC module
SiC MOS
SiC MOS devices
SiC MOSFET
SiC MOSFETs
SiC MPS diode
SiC PN and MPS Diodes
SiC polishing
SiC powder
SiC power device
SiC power MOSFET
SiC power MOSFETs
SiC single crystal
SiC solution growth
SiC source
SiC substrates
SiC surface protection
SiC thermal oxidation
SiC trench MOSFET
SiC trench MOSFETs
SiC wafering
SiC&GaN
SiC-CMOS
SiC-MOSFET
SiC-on-insulator
SiC-on-insulator (SiCOI)
SiC-OpAmp
SiC-SiO2 interface
SiC/SiO2
SiC/SiO2 interface
SiC/SiO2-interface characterization
Silicidation model
Silicide
silicon carbide
silicon carbide (SiC)
Silicon carbide wafer
Silicon Carbide(SiC)
silicon vacancy
silicon-carbide
Silver Nanoparticles
SIMS
Simulation
simulations
simultaneous data fitting
single bilayer step
single defect
single divacancy defects
single event burnout
Single event burnout (SEB)
single event effect
Single event effects
Single Event Immunity
single photon emitters
single photon emitters (SPEs)
single photon source
Single Shockley Stacking Faults
Single-Event Gate Rupture(SEGR)
single-zone junction termination edge
SiO2
SiO2/SiC interface
SiO2/SiC interface carbon reduction
SiO2/SiC interfaces
slicing
slicing process
Slicon Carbide
slow transient
Slurry
smart cut
Smart Cut™
SmartCut
SmartCut™
SmartSiC
SmartSiCTM
SmartSiCTM Substrate
SmartSiC™
sMIM
Snapback
SNDM
Software modeling
solid state transformer(SST)
solution growth
Solution properties
solvent inclusion
Space
space explorations
Specific on-resistance
spectroscopy
SPICE
SPICE modeling
Spin Centers
spin coherent control
Spin defect
spin dephasing
Spin dynamics
Spin Magnetic Resonance
Spin-active defects
spins
Split C-V
Spreading Resistance Profiling
Sputter deposition
SSCB
SSF
stability
stacking fault
Stacking Faults
Stacking faults in SiC
Standard molar enthalpy
Static Random Access Memory
Statistical Mechanics
Step bunching
Step debunching
step-bunching
step-terrace structure
Stray Inductance
Stress
Stress & Measure
stress distribution
striations
Strucutre of stacking faluts
Sublimation etching
sublimation process
Substrate
Substrate BPD defect
Substrate Reuse
subsurface damage
Subthreshold drain leakage
subthreshold slope
subthreshold technique
Sulfur
Super Junction
super-junction
supercritical fluid treatment
Superjunction DMOSFET
Superjunction-MOSFETs
Surface
Surface damage
Surface energy
surface finish
surface micromachining
Surface morphology
Surface quantum well
surface recombination
surface roughness
Surface structuring
Surge capability
surge current
Surge Current Robustness
Sustainability
switching characteristics
Switching Energy
switching energy losses
synchrotron diffraction
T
TaC
Tail band trap
TBA1
TBA2
TBA3
TBD
TBD1
TBD2
TBD3
TCAD
TCAD simulation
TCAD simulations
TCS
TDDB
TDTR
Telecom bands
TEM
Temperature
Temperature Dependence
Temperature Dependent Electrical Properties
temperature field
Temperature sensor
Temperature-dependent Hall coefficient
Temperature-dependent resistivity
Testing
thermal boundary resistance
thermal conductivity
thermal decomposition epitaxy
thermal fluid simulation
thermal oxidation
Thermal stability
thermal stress
Thermal treatment
Thermionic effect
thermo-mechanical stress
Thermo-optic modulator
Thermochromic
thick epitaxial growth
Thick epitaxial layers
Thick epitaxy
Thickness
Thin film
thin films
Thin foil
Thin foils
Thinning
third quadrant
threading screw dislocation
Threshold Voltage
threshold voltage drift
Threshold voltage instability
Threshold voltage stability
Threshold-Voltage Instability
throughput
Time-dependent dielectric breakdown
TiN
TMBS
Total ionising Dose
Total ionizing dose (TID)
TPRE
trace element analysis
Traction
transient events
Transient Simulation
transient thermal resistance
transient-enhanced diffusion
transistor
transition metal ion
transmission electron microscope
Transmission Electron Microscopy
Transparent Thin Layers
trap state
trap-assisted tunneling
trapping
Traps
Trench
trench gate
Trench MOSFET
trenched gate
Trenched junction-pinched barrier rectifier (TBR)
TrenchMOS
TSD
TSI Semiconductors
TSSG method
Tunneling
tunneling current
Twin mediated growth
Two-dimensional materials
U
UIS
ultra-high-voltage
Ultraviolet Irradiation
Ultraviolet Photoluminescence
Ultraviolet Photoluminescence Imaging
Unclamped Inductive Switching
uniformity improvement
unipolar AC stress
UV
UV Expansion
UV Imaging
UV irradiation
UV Laser
UV-PL
UVPL
UVPL Imaging
V
V-doped semi-insulating
V-doped SiC source
vacancy
vacancy-related defects
Vacuum-Packaged Resonators
variability
VDMOS
VDMOSFET
Venus
Vertical
vicinal carbon face
vicinal off angle
VIISta® SiC
virtual prototyping
viruses
voltage adjustable diode
Voltage mapping
Voltage overshoot
VTH
Vth instability
Vth stability
VUV
W
wafer
Wafer bonding
wafer dicing
Wafer inspection
Wafer probing
Wafer quality inspection
Wafer Ready Material
Wafer testing
wafer yield
Wafering
Wafering Process
wafers
water jet guided laser
wavefunction
waveguides
Waveguiding
Wide Bandgap
Wide-bandgap power devices
X
X-ray Analysis
X-ray topography
X-rays
Xray monitoring
XRDI
XRT
Y
Yield
Z
Z1 and Z2 defects
µ
µ-Raman