ICSCRM 2023: INTERNATIONAL CONFERENCE ON SILICON CARBIDE AND RELATED MATERIAL 2023
PROGRAM FOR THURSDAY, SEPTEMBER 21ST
Days:
previous day
next day
all days

View: session overviewtalk overview

08:30-10:30 Session 22A: Process 5: Deposited Oxides
08:30
Design of Al2O3/LaAlO3/SiO2 Gate Stack on Various Channel Planes for High-Performance 4H-SiC Trench Power MOSFETs (invited paper)
09:00
Integrated Atomic Layer Deposition Gate Dielectric Stacks for SiC MOSFET Power Devices
09:20
High-k Gate Dielectric for High-Performance SiC Power MOSFET Technology with Low Interface Trap Density, Good Reliability (ttddb≥ 104s), and High Thermal Stability (≥ 800 oC)
09:40
Analysis of SiO2/SiC interfaces formed by ALD, LPCVD or direct thermal growth and their impact on high temperature, long-term oxide reliability
10:00
Towards functional Al2O3/AlN dielectric stacks on 4H-SiC by thermal and plasma enhanced Atomic Layer Deposition
08:30-10:30 Session 22B: Material 3: Surface processing and epi growth
Location: Ulisse
08:30
Mitigation of BPD Faulting Using H2 Etch for Pulsed Power Applications (invited paper)
09:00
Characterisation of Silicon Carbide surfaces prepared by PPDE
09:20
Surface structuring of patterned 4H-SiC surfaces using a SiC/Si/SiC sandwich approach
09:40
Hydrogen etching process of 4H-SiC (0001) in limited regions
10:00
Characterization of very thin 3C-SiC epilayers on Si
11:00-12:30 Session 23A: Devices 4: Short circuit, avalanche and reliability
11:00
Investigation of the short-circuit withstand time and on-resistance trade-off of 1.2 kV 4H-SiC Power MOSFETs
11:20
On the TCAD Modeling of Non-Permanent Gate Current Increase During Short-Circuit Test in SiC MOSFETs
11:40
UIS ruggedness of parallel 4H-SiC MOSFETs
12:00
Investigations into the impact of deposition or growth techniques on the field oxide TID response in 4H-SiC space applications
11:00-12:30 Session 23B: Process 6: Metal/SiC interface
Location: Ulisse
11:00
Carrier transport and barrier height of S+-implanted SiC Schottky barrier diodes
11:20
Reduction of contact resistivity at non-alloyed SiC ohmic contacts based on understanding of tunneling phenomena
11:40
Formation of non-alloyed ohmic contacts on heavily Al+-implanted p-type SiC
PRESENTER: Kotaro Kuwahara
12:00
Stacking faults and space charge limited current in 4H-SiC Schottky diodes
14:00-16:00 Session 24A: Defects 3: Interface defects and carrier lifetime
14:00
Non-Destructive Characterization of Buried Damage in SiC Substrates". No mater how good bulk SiC substrates and epi processes are, proper polishing/CMP and characterization of the surface and subsurface damage are critical for device yield and reliability (invited paper)

ABSTRACT. TBD

14:50
Investigation of the near interface oxide defects in a SiO2-SiC system using optical excitation
15:10
Unique localization of conduction band wavefunction near SiO2/4H-SiC interface by applied electric field
15:30
Doping and Temperature Dependence of Carrier Lifetime in 4H SiC Epitaxial Layers
14:00-16:00 Session 24B: Applications 2
Location: Ulisse
14:00
Lifetime Modeling of the 4H-SiC MOS Interface in the HTRB Condition Under the Influence of Screw Dislocations (invited paper)

ABSTRACT. TBA

14:30
Unique Failure Mode of SiC MOSFETs under Accelerated HTRB
14:50
3rd Quadrant Surge Current SOA of SiC MOSFETs with Different Voltage Classes
PRESENTER: Mohamed Alaluss
15:10
Power Cycling Performance of 3.3 kV SiC-MOSFETs and the Impact of the Thermo-Mechanical Stress on Humidity Induced Degradation
16:30-18:30 Session 25A: Posters Session Th.D
Location: Le Ginestre
Electrically Detected Magnetic Field Sensing of Quantum Centers in SiC Junction Field Effect Transistors
OPuS-MAGNM – Progress on Miniaturized Optically Pumped Solid State Quantum Magnetometers for Space Applications
Selection rules in the excitation of the divacancy and the nitrogen-vacancy pair in 4H- and 6H-SiC
Optical spectroscopy of single O-band vanadium emitters in SiC with ultra-narrow inhomogeneous distribution
Photoluminescence polarization of single TS centers in 4H-SiC
Formation of color centers at SiO2/SiC interfaces by thermal oxidation and its correlation with electrical properties
16:30-18:30 Session 25B: Poster Session Th.A
Location: Nettuno
Influence of Channel Length and Gate oxide Thickness Variations in 3300 V 4H-SiC VDMOSFET
Analysis of Gain Fluctuation for SiC Amplifier with Radiation Hardness
Optimization of Single-Event Burnout Resistance 1.2 kV SiC Schottky Barrier Diode
Anisotropic stress observation of 4H-SiC Trench-Test MOSFET structures by Scanning Near-field Optical Raman Microscope
Ultra-High-Voltage SiC-IGBT for Modular-Multilevel-Converters in HVDC
Comparison of Si CMOS and SiC CMOS Operational Amplifiers
Gamma-ray irradiation effects on 4H-SiC n/p MOSFETs with POA treatment.
Minimum Channel Length for Suppressing Short-Channel Effects in SiC JFETs
Amorphous silicon-carbide modulator based on the thermo-optic effect
Modeling the charging of gate oxide under high electric field
Implicit differentiable models for wide temperature range SiC Schottky diode characterization
AFM-sMIM analysis of the recombination enhancing layer for bipolar-degradation-free SiC MOSFETs
Analytical Modeling of Conduction, Blocking and Breakdown Operation of a Monolithically Integrated 4H-SiC Circuit Breaker Device Technology
Unclamped inductive switching response of SiC MOSFETs under extreme slow transient
Early-stage reliability evaluation of passivation stack and termination designs in SiC MPS diodes
PRESENTER: Davood Momeni
500°C High-Temperature Characteristics of TiN-gate SiC n/p MOSFETs
Radiation Dose Response of 4H-SiC UV Sensor for MGy-Class Radiation Hardened CMOS UV Imager
Temperature-Dependent Evaluation of Commercial 1.2 kV, 40 mΩ 4H-SiC MOSFETs: A Comparative Study between Planar, One-side Shielded Trench, and Double Trench Gate Structures
16:30-18:30 Session 25C: Poster Session Th.B
Location: Foyer Sirene
Impact of Different Schottky Barrier Diode Ratios on Static and Dynamic Characteristics of embedded SBD-MOSFET at Different Temperatures
Increasing 4H-SiC Trench Depth by Improving the Dry-Etch Selectivity Towards the Oxide Hard Mask
Analysis of Lattice Damage in 4H-SiC Epiwafers Implanted with High Energy Al Ions at Elevated Temperatures
Plasma Treatment after NiSi-based Ohmic Contact Formation on 4H-SiC to Enhance Adhesion of Subsequent Backside Metallization
PRESENTER: Tom Becker
Metal Contact Processing Experiments Towards Realizing 500 °C Durable RF 4H-SiC BJTs
Impact of the p base junction depth on electrical properties of 4H SiC trench MOSFETs with the double p base structure
Impact of Al ion implantation on 4H-SiC epitaxial layer
Ohmic contact resistance in SiC diodes with Ti and NiSi P+ contacts
Optimization of Reflectance Spectroscopy for Transparent Layers on 4H-SiC
Comparison of polar-face and non-polar faces 4H-SiC/SiO2 interfaces revealed by magnetic resonance and related techniques
Prediction of contact resistance of 4H–SiC by machine learning using optical microscope images after laser doping
High-quality micro/nano structures of 4H-SiC patterning by vector femtosecond laser
A novel approach for thin 4H-SiC foil realization using controlled spalling from a 4H-SiC wafer
Temperature dependence of gate oxide breakdown and C-V properties on 4H-SiC between room temperature and 500 °C
Performance improvement by carbon-dioxide supercritical fluid treatment for 4H-SiC Vertical Double Diffusion MOSFETs
Long Term Reliability and Deterioration Mechanisms of High Temperature Metal Stacks on 4H-SiC
A comparison between different post grinding processes on 4H-SiC wafers
Characterization of aluminum and nitrogen implants into silicon carbide using Fourier transform infrared spectroscopy
A Comparative Study of the Analytical and Finite Elements Approaches to investigate the Equivalent Thickness of Large 4H-SiC Taiko Wafers
16:30-18:30 Session 25D: Poster Session Th.C
Location: Foyer Ulisse
Excited carrier concentration dependence of surface recombination velocities for 4H-SiC with and without passivation
Detection of Very Fast Interface Traps at 4H-SiC/AlN and 4H-SiC/Al2O3 Interfaces
Study on electron trap states of Al implanted Ni/SiC Schottky barrier diodes using DLTS
Ga2O3/SiC Heterostructure Schottky Diodes
Effect of Sub-micron-sized Micropipes on Electric Properties of SiC Devices
Structural Analysis of Novel Butterfly Defect in 4H-SiC Substrates
Investigation of dislocation behaviors in 4H-SiC under thermal treatment
DFT modeling of crystallographic defects in SiC polytypes
Characterization of Growth Sectors in Gallium Nitride Substrate Wafers
Systematic tracking of defects from substrate to final device by full wafer mapping techniques
Dependence of the silicon carbide radiation resistance on the irradiation temperature.
Epitaxial defectivity characterization combining surface voltage and photoluminescence mapping.
Extended defects and stress relaxation in 3C-SiC grown on compliance Si substrates
An investigation into the enhancement of carrier lifetime in thick 4H-SiC epitaxial layers for bipolar devices
Birefringence image simulation of dislocations in a SiC crystal considering three-dimensional stress fields.
Investigation of BPD Faulting under Extreme Carrier Injection in Room vs High Temperature Implanted 3.3kV SiC MOSFETs
The role of Dit(E) and the capture cross section on the C-V characteristics of 4H-SiC MOS capacitors