ICSCRM 2024: INTERNATIONAL CONFERENCE ON SILICON CARBIDE AND RELATED MATERIALS 2024
PROGRAM

Days: Monday, September 30th Tuesday, October 1st Wednesday, October 2nd Thursday, October 3rd Friday, October 4th

Monday, September 30th

View this program: with abstractssession overviewtalk overview

10:50-11:00 Session 2: Invited Posters
Enhancing Reliability in 1.2kV 4H-SiC Trench MOSFETs: A Comprehensive Study (abstract)
PRESENTER: Jake Choi
Influence of the Temperature Gradient on the Defect Formation in the Initial Stage of PVT Growth (abstract)
PRESENTER: Yunji Shin
SiC Plasma Dicing for Future High Yield Die Singulation (abstract)
PRESENTER: Ben Jones
Superior Characteristics of Body Diode in DMOSFET Fabricated on 4H-SiC Bonded Substrate (abstract)
PRESENTER: Yuta Higashi
Identification of Threading Mixed Dislocations Having a Large Edge Component Burgers Vector by Polarized Light Observation (abstract)
PRESENTER: Shunta Harada
11:30-13:00 Session 3A: Quantum Sensing
Location: Room 305
11:30
Optically Pumped Solid State Magnetometers for Planetary and Space Science: Inching closer to single-digit nanotesla sensitivities (abstract)
PRESENTER: Hannes Kraus
12:00
Dephasing Times and Magnetic Field Sensitivity of the Silicon Vacancy in Isotopically-Purified 4H-SiC (abstract)
PRESENTER: Samuel White
12:20
Simultaneous magnetic field and temperature sensing in SiC devices (abstract)
PRESENTER: Takeshi Ohshima
12:40
Towards identification of single photon emitters and electro-optical characterization of defects at the 4H-SiC/a-SiO2 interface (abstract)
PRESENTER: Adam Gali
11:40-13:00 Session 3B: Sensors & Novel Applications
Location: Room 306
11:40
Heavy ions radiation damage on silicon and silicon carbide detectors (abstract)
PRESENTER: Francesco La Via
12:00
Influence of Gold Nanoparticle Distribution on the Performance of Self-powered Silicon Carbide Ultraviolet Photodetector (abstract)
12:20
SiC CMOS Active Pixel Sensors with Embedded UV Photodiode (abstract)
PRESENTER: Kazuma Tanigawa
12:40
Exploring intrinsic high-frequency limitations of electronic devices: The end of the road of Schottky rectification (abstract)
PRESENTER: Heiko Weber
14:30-16:00 Session 4A: Epitaxial Growth 1
Location: Room 305
14:30
Review for Resonac’s SiC Epiwafer Development (abstract)
15:00
Silicon Carbide Epitaxial Growth Performance on 350um and 500um Thick 200mm Substrates (abstract)
PRESENTER: Tom Kuhr
15:20
Basal Plane Dislocation Mitigation via Annealing and Growth Interrupts (abstract)
15:40
Defect density reduction in 4H-SiC (0001) epilayer via growth-interruption during buffer layer growth (abstract)
PRESENTER: Shiv Kumar
14:30-16:00 Session 4B: Advanced Features in SiC MOSFETs
Location: Room 306
14:30
The Silicon Carbide FinFET – a milestone concept in power electronics (abstract)
15:00
ALD deposited SiO2 dielectric stack with engineered interface using in-situ Atomic Layer Annealing for high performance SiC MOSFET (abstract)
PRESENTER: Andrii Voznyi
15:20
Optimizing 1.2 kV SiC Trench MOSFETs for Enhanced Performance and Manufacturing Efficiency (abstract)
PRESENTER: Seung Yup Jang
15:40
Investigation of threshold voltage stability under high gate voltage stress in High-K SiC planar MOSFETs (abstract)
PRESENTER: Marco Pocaterra
16:15-17:30 Session 5: Posters 1
Process gas control for growth of high-resistant HPSI-SiC crystal (abstract)
PRESENTER: Seung-June Lee
A Novel Approach for the Volume Production of Wide-Bandgap Semiconductor (abstract)
Evaluation of 4H SiC epitaxial CVD process on different 200 mm substrates for power device applications (abstract)
PRESENTER: Andrea Severino
200mm n-type SiC Uniform Low BPD in Their Whole Crystal Technology (abstract)
PRESENTER: Ching Shan Lin
Advanced diagnostics for rapid process development (abstract)
PRESENTER: Slobodan Mitic
Optimization of heat transfer design for high quality 4H-SiC ingot growth (abstract)
PRESENTER: Seung-June Lee
Study on growth of 8inch SiC substrate with ultra-low dislocation density (abstract)
PRESENTER: Yan Peng
Active planarization method from rough surface of 4º-off 4H-SiC (0001) controlled by step bunching and debunching mechanism using Dynamic AGE-ing® (abstract)
PRESENTER: Kohei Toda
Challenges in Investigating UIS Material-Based Failures & Yield Prediction in Absence of Robust 4H-SiC Epitaxial Defect Standards (abstract)
PRESENTER: Jake Soto
Low-temperature Photoluminescence characterization of 4H-SiC epilayers irradiated with H+ ions (abstract)
Minority carrier lifetime mapping of stacking faults on photoluminescence maps from 4H-SiC epitaxial wafer by time-resolved photoluminescence (abstract)
PRESENTER: Moonkyong Na
Defects characterization and mitigation through the trench gate patterning process (abstract)
PRESENTER: Remi Le Tiec
The employment of laser light scattering as a full wafer inspection tool for controlling the quality variation in CMP-finished subsurface damage of SiC substrates (abstract)
PRESENTER: Yuta Nakajima
High Spatial Resolution Analysis of Dislocations in 4H-SiC Using Low Accelerating Voltage Scanning Electron Microscope (SEM)-Cathodoluminescence (CL) (abstract)
PRESENTER: Shunsuke Asahina
Hydrogen and point defect introduction into 4H-SiC by plasma treatment (abstract)
PRESENTER: Tong Li
Analysis of Deep-Level Defects in 4H-SiC MPS and PiN Diodes (abstract)
PRESENTER: Tae-Hee Lee
Densification & Single Side Polishing application for improvement of high-level wafer warpage in SiC substrate. (abstract)
PRESENTER: Myeonggyun Kim
Effects of sulfurization on the properties of 4H-SiC Schottky contacts (abstract)
Analysis of Ohmic contacts simultaneously formed on both n-type and p-type 4H-SiC (abstract)
PRESENTER: Atsushi Shimbori
An Ohmic Contact for SiC-based P-channel HFET (abstract)
PRESENTER: Hiroyuki Sazawa
C-Face Epitaxy for Enhanced SiC Device Performance: Insights from Schottky Barrier Diodes (abstract)
PRESENTER: Roth Voo
Qualitative study on laser backside ohmic contact formation of a SiC-Ni interface (abstract)
A New Class of High-Voltage Si-SiC Hybrid Devices with Forced Carrier Extraction for Improved Switching Performances (abstract)
Temperature and Gate Voltage Dependence of Rds,on in the 1.2kV SiC Planar and SBD-Embedded MOSFETs (abstract)
PRESENTER: Xue-Fen Hu
A Design of 1.2kV SiC DMOSFET with Locally Etched Poly-Si Gate to Improve Switching Characteristics (abstract)
PRESENTER: Dusan Baek
SiC Schottky-barrier diode without ion-implanted P-type regions (abstract)
PRESENTER: Sima Dimitrijev
Electric characteristics optimization of machine Learning method in 4H-SiC vertical diffusion MOSFETs (abstract)
PRESENTER: Shih-Chiang Shen
Advancing High-Temperature Performance in Wide-Bandgap Schottky Diodes with Mesa Structures (abstract)
PRESENTER: Min-Yeong Kim
Electrical characterization of HV (10 kV) Power 4H-SiC Bipolar Junction Transistor (abstract)
Increasing relative manufacturing yield of in SiC MOSFET using advanced semiconductor substrate engineering (abstract)
PRESENTER: Nicolo Piluso
Tuesday, October 1st

View this program: with abstractssession overviewtalk overview

08:40-10:30 Session 7A: Bulk Growth 1
Location: Room 305
08:40
Improvement of the yield during crystal growth of SiC by PVT by proper selection and design of hot zone isolation components (abstract)
PRESENTER: Peter Wellmann
09:10
Rapid Growth of Bulk SiC crystals via Physical Vapor Transport Method : Challenges to Improvement in the crystal qualities under rapid growth (abstract)
PRESENTER: Seong-Min Jeong
09:30
Crystal Quality Evaluation of 6-inch and 8-inch SiC Growth in Resistive Furnaces: Defect Mapping and Characterization (abstract)
PRESENTER: René Hammer
09:50
Study on effect of interfacial pore between seed and graphite holder for physical vapor transport growth of 4H-SiC crystal (abstract)
PRESENTER: Daisuke Tahara
10:10
TaC-based protective coating systems adapted on graphite materials with different thermal expansion for the use in SiC PVT crystal growth (abstract)
08:40-10:30 Session 7B: MOSFET Channel Optimization
Location: Room 306
08:40
Mobility enhancement in SiC n- and p-channel MOSFETs (abstract)
PRESENTER: Mitsuaki Kaneko
09:10
Anisotropy variation in MOS channel mobility among 4H-SiC nonpolar and semipolar faces (abstract)
PRESENTER: Hirohisa Hirai
09:30
Characterization of interface trap and mobility degradation in SiC MOS devices using gated Hall measurements (abstract)
PRESENTER: Suman Das
09:50
Dynamic vs. Quasi-stationary C-V Characterization of MOS Capacitors (abstract)
PRESENTER: Michel Nagel
10:10
Impact of Positive and Negative High Voltage Gate Stress on Channel Degradation in SiC MOSFETs (abstract)
PRESENTER: Shane Stein
11:00-12:30 Session 8A: Engineered Substrates
Location: Room 305
11:00
SmartSiC™ 150 & 200mm engineered substrate: enabling SiC power devices with improved performances and reliability (abstract)
PRESENTER: Eric Guiot
11:30
Improvement over temperature of the substrate resistance contribution on a SiC diode by using SiC engineered substrates (abstract)
11:50
High-temperature adhesive bonding of 4H-SiC substrates (abstract)
PRESENTER: Priyank Parmar
12:10
Study on epi performance of engineered 150 mm and 200 mm SiC substrates in a multi-wafer batch reactor (abstract)
PRESENTER: Philip Hens
11:00-12:30 Session 8B: MOS Interfaces
Chairs:
Location: Room 306
11:00
Doping-dependent fixed charges in SiC MOSFETs (abstract)
PRESENTER: Kyota Mikami
11:30
Investigation of Poly-Si gated, Al2O3-based high-k Dielectrics on 4H-SiC (abstract)
PRESENTER: Johannes Ziegler
11:50
Investigation of Interface and Reliability of 3C- and 4H-SiC MOS Structures through Gate Dielectric Stacking and Post-Deposition Annealing (abstract)
12:10
4H-SiC Vertical Trench Power MOSFET Fabricated by Oxidation-Minimizing Process (abstract)
PRESENTER: Hidemoto Tomita
14:00-16:00 Session 9A: Characterization I (Rm 305)
Location: Room 305
14:00
Atomistic defect modeling in SiC for crystal growth optimization (abstract)
PRESENTER: Lorenz Romaner
14:20
Analysis of Trap Centers Generated by Hydrogen Implantation in 4H-SiC Bonded Substrates (abstract)
PRESENTER: Hidetsugu Uchida
14:40
Study on conversion of survived BPDs in epitaxial layer to TEDs (abstract)
PRESENTER: Kazumi Takano
15:00
Relationship between contrast formation in the mirror electron images and the distribution of crystal defects in polishing damage introduced on the surface of 4H-SiC wafers (abstract)
PRESENTER: Hideki Sako
15:20
Role of Point Defects in Suppressing Stacking Fault Expansion through Helium and Proton Implantation in SiC Epitaxial Layer (abstract)
PRESENTER: Shunta Harada
15:40
Late news
14:00-16:00 Session 9B: High Temperature Operation & Radiation Effects
Location: Room 306
14:00
Design and Simulation of Greatly Improved Future Generation 4H-SiC JFET-R Integrated Circuits for Prolonged 500 °C Operation (abstract)
PRESENTER: Christina Adams
14:20
Device Performance and Reliability of SiC CMOS up to 400 ̊C (abstract)
PRESENTER: Emran Ashik
14:40
Analysis of Latent Gate Oxide Damage in Heavy-Ion Irradiated High-Voltage SiC Power MOSFETs (abstract)
PRESENTER: Arijit Sengupta
15:00
Improvement of Single Event Leakage Current Tolerance in 4H-SiC Trench MOSFET (abstract)
PRESENTER: Eiji Kagoshima
15:20
SiC in space: potential application survey (abstract)
15:40
Demonstration of Structural Effects on SEB Tolerance in Trench Gate SiC-MOSFETs under Heavy-Ion Irradiation (abstract)
PRESENTER: Misa Takahashi
16:30-18:30 Session 10: Posters 2
Monolithic fabrication of 4H-SiC micromechanical devices by electrochemical etching and characterization of vibrational modes (abstract)
SiC Avalanche Photodiodes—Crystal Orientation and Spatial Uniformity (abstract)
PRESENTER: Daniel Habersat
Towards a scalable, integrated real-world quantum magnetometer based on proprietary 4H silicon carbide technology (abstract)
Integrated Photonic with Divacancy Defects in 4H-SiC-on-Insulator Platform (abstract)
PRESENTER: Shanying Cui
Technology development for nano-pillars fabrication in silicon carbide to enhance light collection from color centers (abstract)
PRESENTER: Enora Vuillermet
Scalar Atomic Defect-Based Solid-State Self-calibrating Magnetometer (3SM) for Space Plasma Analysis (abstract)
PRESENTER: Daniel Hart
Latching current limiter for high-power distribution in space enabled by SiC N-MOSFET (abstract)
Filling-design effect of powder source in the crucible on SiC single-crystal growth (abstract)
PRESENTER: Min Gyu Kang
A study of epitaxial growth on 4H-SiC substrates treated by plasma polish dry etch (PPDE) process (abstract)
PRESENTER: Tawhid Rana
Improved thermal uniformity and power efficiency of graphite heating devices coated with TaC (abstract)
PRESENTER: Bowen Dong
Development and scale-up of 200mm 4H SiC crystals (abstract)
PRESENTER: Taehee Kim
Graphite an Enabler for Single Crystal SiC Growth (abstract)
The application of dynamical thermal annealing processes after mechanical slicing as an integrated contactless SiC wafering method to control crystal defects (abstract)
PRESENTER: Kohei Toda
Optimization of SiC growth processes by using insights of inductive SiC inspection (abstract)
PRESENTER: Michael Hofmann
Factors to determine resistance characteristics of semi-insulating SiC single crystal (abstract)
PRESENTER: Woo Yeon Kim
Defect optimization by controlling etching, seeding and ramping on a planetary batch reactor (abstract)
Integrated Approach to SiC Crystal Growth: Multiphysics Modeling and Chemistry Assessment in PVT Furnaces (abstract)
PRESENTER: Zaher Ramadan
Mechanical Behavior of CVD-grown Tantalum Carbide (TaC) Coatings on Graphite Substrates (abstract)
Nanoscale infrared spectroscopic characterization of threading dislocations in SiC (abstract)
PRESENTER: Scott Criswell
Study of in-grown micropipes in 200 mm 4H-SiC (0001) epitaxial substrate (abstract)
Non-contact Full wafer Imaging of Electrically Active Defects in 4H-SiC Epi with Comparison to End of Line Electrical Device Data (abstract)
PRESENTER: Marshall Wilson
Coherency between epitaxial defectivity, surface voltage, photoluminescence mapping and electrical wafer sorting for 200mm SiC wafers. (abstract)
PRESENTER: Jimmy Thörnberg
Numerical analysis of correlation between UV irradiation and current injection on bipolar degradation in PiN diodes (abstract)
Observation of typical triangular Frank-type stacking faults in 4H-SiC epitaxial layer (abstract)
PRESENTER: Moonkyong Na
Silicon Carbide wafer edge, bevel and apex defect characterization with inline SEMVision® G3MAXFIB (abstract)
DUV laser-based defect inspection of single-crystal 4H-SiC and SmartSiC engineered substrates for high volume manufacturing (abstract)
PRESENTER: Enrica Cela
Nanoscale infrared polytype layer analysis and charge carrier profiling (abstract)
Characterization of Void Defects in PVT-Grown 4H-SiC Crystals (abstract)
PRESENTER: Yafei Liu
Controlled Spalling of Single Crystal 4H-SiC Bulk Substrates (abstract)
PRESENTER: Connor Horn
Co-optimization of APF-based advanced mask deposition, etch and metrology processing for high-performance SiC devices (abstract)
A New Era of 8" Silicon-Carbide Wafering (abstract)
PRESENTER: Malte Mueller
Examining Nitrogen Doping Effects on MOCVD-Epitaxially Grown SiC Films on 4H-SiC Substrates (abstract)
PRESENTER: Min Jae Kang
Effect of intervening layer insertion on Φb reduction in TiN Schottky (abstract)
PRESENTER: Shigeaki Takagi
Monitoring of dose, temperature, and energy-dependent damage in Al implanted 4H-SiC by UV photo-modulated reflectance measurement (abstract)
PRESENTER: Ha Bin Jeong
Vth behavior by different barrier metals at positive bias HTGB & negative bias HTGBx (abstract)
PRESENTER: Sanghong Park
Sonic Lift-off of 50μm-thick layers to Replace Backgrinding and Enable 4H-SiC Substrate Reuse (abstract)
Impact of Silicon Nitride Stress on Defects Generation in 4H-SiC and the Effect of Sacrificial Oxidation on Defects Reduction (abstract)
PRESENTER: Kai-Wen Hsu
Impacts of thermal oxidation and forming gas annealing on surface morphology of SiC(0001) (abstract)
PRESENTER: Shinji Kamihata
Superjunction implementations within a 4H-SiC double trench MOSFET structure (abstract)
PRESENTER: Peter Gammon
Effect of Chip Size on Reverse Recovery of SiC MOSFETs with Edge Termination (abstract)
PRESENTER: Yeonjun Kim
Spin-dependent-charge-pumping spectroscopy on p-channel 4H-SiC MOSFETs (abstract)
PRESENTER: Sosuke Horiuchi
Displacement Damage Effect of Proton Irradiation on Vertical SiC and β-Ga2O3 based Schottky Barrier Diodes (SBDs) (abstract)
PRESENTER: Jae Hwa Seo
Novel SiC MOSFET Edge-Termination Structure for Electric Field Relaxation Using an Oxide Film Along the Trench Surface (abstract)
PRESENTER: Yoshitaka Kimura
Effect of channel width and length on the mobility of 4H-SiC lateral MOSFETs using ion-implanted n- and p-base regions (abstract)
PRESENTER: Jeong Hyun Moon
Temperature-Dependent Hole Scattering in p-Channel 4H-SiC MOSFETs with Different Channel Lengths (abstract)
PRESENTER: Young-Hun Cho
Influence of Oxidation Time and Method on 4H-SiC MOS Capacitor Characteristics (abstract)
PRESENTER: Youngjae Park
The investigation of effective thermal oxidation to SiC MOSFET gate oxide quality improvement (abstract)
PRESENTER: Youngbin Im
Coupling TCAD with Junction DLTS to extract capture properties of minority carrier traps: the Shallow Boron center in N-type 4H-SiC (abstract)
PRESENTER: Orazio Samperi
Electrical Characteristics of N-Channel 4H-SiC MOSFET Under Positive-Bias Stress at 300℃ Ambient (abstract)
PRESENTER: Vuong Van Cuong
Wednesday, October 2nd

View this program: with abstractssession overviewtalk overview

08:40-10:30 Session 11A: Characterization II
Location: Room 305
08:40
Unveiling border trap energetics in a SiO2-SiC system using capacitance based optical excitation spectroscopy (abstract)
PRESENTER: Piyush Kumar
09:10
Carbon-related interface defects in p-channel 4H-SiC MOSFETs (abstract)
09:30
Signal inversion in charge pumping electrically-detected magnetic resonance of 4H-SiC MOSFETs (abstract)
PRESENTER: Ilias Vandevenne
09:50
Photoelastic measurement of residual stress in 4H-SiC substrates for evaluation of crystal growth and wafering process (abstract)
PRESENTER: Paul Wimmer
10:10
Electrical detection of Magnetic Resonance on a Chip (EDMRoC): A low-cost and sensitive characterization tool for defects in SiC MOSFETs (abstract)
PRESENTER: Sofie Cambré
08:40-10:30 Session 11B: Superjunction & High Voltage Devices
Location: Room 306
08:40
Deep Implanted SiC Super-Junction Technology (abstract)
PRESENTER: Reza Ghandi
09:10
Cost-Effective Design and Optimization of a 3300-V Semi Superjunction 4H SiC MOSFET Device (abstract)
PRESENTER: Kyrylo Melnyk
09:30
Economic Feasibility Analysis of Vertical High-Voltage 4H-SiC Superjunction MOSFETs Compared to Conventional Counterparts (abstract)
PRESENTER: Mohamed Torky
09:50
Impact of transition from full- to semi-superjunction structure on the performance limit of 4H-SiC devices (abstract)
PRESENTER: Daisuke Iizasa
10:10
High Current Pulse Power Operation of 12 kV SiC Thyristors (abstract)
PRESENTER: Koji Nakayama
11:00-12:30 Session 12B: Stress & Threshold Voltage Instabilities
Location: Room 306
11:00
Threshold voltage drift mechanism in SiC MOSFETs by photon-assisted electron injection under bipolar AC gate stress (abstract)
PRESENTER: Hiroshi Yano
11:30
Insight into the mobility-limiting factors of SiC MOSFETs: the impact of gate bias stress (abstract)
PRESENTER: Takuma Kobayashi
11:50
Ultra-fast bias temperature instability and charge pumping studies of SiC trench MOSFETs with varying trench orientations (abstract)
PRESENTER: Marina Avramenko
12:10
Achieving Low Dit (~5×1010eV-1cm-2), Competitive JG (~ 5×10-10 A cm-2) Performance and Enhanced Post-Stress Flatband Voltage Stability Using Deposited Oxide (abstract)
PRESENTER: Umesh Chand
11:10-12:30 Session 12A: Epitaxial Growth 2
Location: Room 305
11:10
An approach on the void-free refill of 4H-SiC trench by CVD (abstract)
PRESENTER: Shiyang Ji
11:30
Controlling 4H-SiC Trench Refill Epitaxy for Superjunction Power Devices with Supersaturated Chlorinated Chemistry (abstract)
PRESENTER: Vishal Shah
11:50
Lateral epitaxial CVD growth of 4H-SiC (abstract)
PRESENTER: Ul Hassan
12:10
Nearly Defect-Free Epitaxy on 150 mm C-Face SiC Substrates (abstract)
PRESENTER: Nguyen Xuan Sang
14:00-16:00 Session 13B: Contacts
Location: Room 306
14:00
Formation of Pt ohmic contacts on p-type SiC with low contact resistivity by 600°C-annealing process (abstract)
PRESENTER: Kotaro Kuwahara
14:20
A simplified method for extracting contact resistivity using the circular transmission line model (abstract)
PRESENTER: Jae-Hyung Park
14:40
Evolution of the electrical and microstructural properties of Mo/4H-SiC contact with the annealing temperature (abstract)
PRESENTER: Marilena Vivona
15:00
Advantages of backside metal contact resistance on 4H-SiC bonded substrates for power devices (abstract)
PRESENTER: Motoki Kobayashi
15:20
Indium-Tin-Oxide (ITO) Interlayer-assisted Ohmic Contacts on N-type 4H-SiC with Low Specific Contact Resistance (abstract)
PRESENTER: Hannan Yeo
15:40
Formation of Ti-based ohmic contacts on n-type SiC with ρC= 6*10^{-8} Ωcm^2 (abstract)
PRESENTER: Keishiro Maeda
14:10-16:00 Session 13A: Extended Defects I
Location: Room 305
14:10
X-ray Topography Characterization of SiC Crystals aided by Ray Tracing Simulations (abstract)
14:40
Using Convolutional Neural Network to Map Defects in SiC (abstract)
PRESENTER: James Gallagher
15:00
Punching of Prismatic Dislocation Loops from Inclusions in 4H-SiC Wafers (abstract)
PRESENTER: Qianyu Cheng
15:20
Abnormal carrot defect and its buried prismatic stacking fault structure in 4H-SiC epitaxial layer (abstract)
PRESENTER: Soon-Ku Hong
15:40
Late news
16:30-18:30 Session 14: Posters 3
Polarization control of SiO2/SiC interfacial single-photon sources by oxygen pressure during thermal oxidation (abstract)
PRESENTER: Rinku Oyama
Selective Initialization Mechanism of Silicon Vacancy Spin Qubits with spin S=3/2 in Silicon Carbide (abstract)
PRESENTER: Seung-Jae Hwang
Impurity-vacancy complexes in 4H-SiC: stability and properties (abstract)
PRESENTER: Takuma Kobayashi
Suppression of luminescent spots at SiO_2/SiC interfaces by thermal oxidation at low oxygen partial pressure (abstract)
PRESENTER: Kentaro Onishi
Near Field Spectroscopy of Silicon Carbide Nanosheets for Novel Application (abstract)
PRESENTER: Nishan Shrestha
Dynamic characterization and robustness of SiC MOSFETs based on SmartSiCTM engineered substrates (abstract)
PRESENTER: Mohamed Alaluss
Extrinsic Gate Reliability of SiC MOSFETs (abstract)
PRESENTER: Rishi Kupper
Optimization of Gate Oxide Screening Technology for Commercial SiC discrete MOSFETs and Power Modules (abstract)
On the Relationship of Processing Parameters and Epitaxial Defects to Extrinsic Failure in SiC Gate Oxide (abstract)
Switching Characteristics of Gate Driver Circuit Based on 4H-SiC MOSFETs at 500℃ (abstract)
PRESENTER: Vuong Van Cuong
Unclamped Inductive Switching in SiC MOSFETs and Diodes: Implications for Standards, Testing, and Screening (abstract)
PRESENTER: Davood Momeni
Life prediction of SiC-MOSFET by accelerated test using anode hole injection correction (abstract)
PRESENTER: Koichi Endo
Prospects and Challenges for SiC Power Devices in MMC-VSC Applications (abstract)
PRESENTER: Saeed Jahdi
Investigation of Interface Traps Distribution using a Temperature Dependent Threshold Voltage Shift Method in Commercial 4H-SiC Power MOSFETs (abstract)
High-quality SiC crystal growth by the control of cooldown rate at cooling stage (abstract)
PRESENTER: Lee Chae-Young
High Removal Rate Silicon Carbide (SiC) Slurry (abstract)
PRESENTER: Sridevi Alety
Streamlining SiC Boule Fabrication - Optimized Wafer Ready Material (abstract)
PRESENTER: Jeffrey Gum
Performance Enhancement of Cu2O/SiC Heterostructured Diodes (abstract)
PRESENTER: Hyun-Woo Lee
Inline Methodology for Rapid Characterization of Carrier Mobility in SiC Drift Layer and Wafer Mapping of 200 mm 4H-SiC Wafers (abstract)
PRESENTER: Wendong Song
Investigation of BaTiO3/4H-SiC metal-ferroelectric-semiconductor structures (abstract)
PRESENTER: Ji-Soo Choi
Recent Advancement in Noncontact Wafer Level Electrical Characterization for WBG Technologies (abstract)
PRESENTER: Marshall Wilson
Kinematical parameters determining the nitrogen doping uniformity during physical vapor transport growth of 4H-SiC crystals (abstract)
PRESENTER: Yuta Inoue
Progress in etching methods of SiC wafer (abstract)
PRESENTER: Jungmin Lee
Closely spaced midgap levels in 4H-SiC bandgap revealed by Laplace-transform photoinduced transient Spectroscopy (abstract)
PRESENTER: Kinga Kosciewicz
How to simulate bipolar degradation by UV irradiation with high accuracy (abstract)
Molecular Dynamics Simulation Approach to H2 Etching Process on SiC (abstract)
PRESENTER: Hidenori Saeki
Automatic etch pit detection and characterization in KOH etch images of 4H-SiC using deep learning (abstract)
PRESENTER: Georg Holub
200mm Silicon Carbide Substrate Maturity Landscape (abstract)
PRESENTER: Siegmar Schoser
All-Optical Volumetric Imaging of Killer Defects in a SiC Epilayer (abstract)
Electrical characterization of SiO2/4H-SiC interfaces with an ion implanted oxide (abstract)
PRESENTER: Giovanni Alfieri
Dependence of epi defects on surface preparation by plasma techniques. (abstract)
Study of SiC trench etching characteristics for different crystal planes (abstract)
PRESENTER: Akhil Ranjan
Dependence of Gate Oxide Thickness on High-Temperature Characteristics of 4H-SiC MOSFET (abstract)
PRESENTER: Vuong Van Cuong
Engineered bilayer high-κ gate dielectric stacks for ideal operation of high-performance SiC power MOSFETs (abstract)
PRESENTER: Sami Bolat
Gate Oxide Performance and Reliability on SmartSiC™ Wafers and the Influence of RTA processing on Gate Oxide Lifetime (abstract)
PRESENTER: Tom Becker
Formation of structured low-ohmic p-type contacts on Al-implanted 4H-SiC by laser annealing (abstract)
Analysis of mechanical properties of 4H-SiC 6 inch wafers by nanoindentation test (abstract)
PRESENTER: Brunella Cafra
Grind performance improvement study for SiC (abstract)
PRESENTER: Byungyoon An
Analysis of SiO2 Mask Shape Effect on SiC Trench Deformation in Biased SF6/O2 Inductively Coupled Plasma (abstract)
PRESENTER: Daria Zimina
Rapid thermal anneal with conductive heating for SiC wafers processing (abstract)
PRESENTER: Xavier Pages
A Novel Design of SiC High-Voltage Lateral PiN Diode for IC Application (abstract)
PRESENTER: Xiaofan Ma
Optimizing Short Channel Designs in 1700 V 4H-SiC VDMOSFET (abstract)
PRESENTER: Servin Rathi
Evaluation of switching performances and short circuit capability of a 1.2 kV SiC GAA MOSFET through TCAD simulations (abstract)
PRESENTER: Luca Maresca
DFT analysis on the electronic structure of 4H-SiC/SiO2 after NO annealing (abstract)
PRESENTER: Tomoya Ono
Design parameters impact on electrical characteristics of 4H-SiC thyristors with etched junction termination extension (abstract)
PRESENTER: Kamil Kotra
Out-of-SOA performance of 3.3 kV SiC MOSFETs: Comparison between Planar and Quasi-Planar Trench (abstract)
Trench shape dependence of stress distribution in 4H-SiC trench MOSFET test structures by Scanning Near-field Optical Raman Microscope (abstract)
Impact of Single-Step Deep P-Body Implant on 1.2 kV 4H-SiC MOSFET (abstract)
PRESENTER: Abdul Yeo Hannan
Static Analysis of High Voltage Vertical Silicon & SiC NPN BJTs (abstract)
PRESENTER: Saeed Jahdi
Fast high current sensing SMD resistor network layout for low inductance insertion (abstract)
Thursday, October 3rd

View this program: with abstractssession overviewtalk overview

08:40-10:30 Session 15A: Extended Defects II (Stacking Faults)
Location: Room 305
08:40
Formation mechanism of basal plane dislocations in 150 mm-diameter SiC wafers with thick epitaxial layers (abstract)
PRESENTER: Fumihiro Fujie
09:10
Investigation of BPD Faulting in Engineered vs Monocrystalline SiC Substrates Under Ultra-High Carrier Injection for Pulsed Power Application (abstract)
09:30
Formation Mechanism and Complex Faulting Behavior of a BPD Loop in 180 µm Thick 4H-SiC Epitaxial layer (abstract)
PRESENTER: Zeyu Chen
09:50
Dynamics of stacking fault expansion in H+ implanted SiC-MOSFETs investigated by photoluminescence spectroscopy (abstract)
PRESENTER: Kazuya Ishibashi
10:10
Demonstration of Suppressing 1SSF Expansion Using Energy Filtered Ion Implantation (abstract)
08:40-10:30 Session 15B: Novel Device Architectures
Location: Room 306
08:40
Suppression of Short-Channel Effects by Self-Aligned Process for SiC UMOSFETs with Channel Length of under 0.3 μm (abstract)
PRESENTER: Shinichi Kimoto
09:10
Investigation of Advanced Hexagonal Layouts for 650 V SiC MOSFETs (abstract)
PRESENTER: Jaehoon Park
09:30
A Novel 'Ladder' Design for Improved Channel Density for 1.2kV 4H-SiC MOSFETs (abstract)
PRESENTER: Skylar Deboer
09:50
SiC MOSFETs C-V capacitance curves with negative biased Drain (abstract)
PRESENTER: Ilaria Matacena
10:10
On the Characterization of 4H-SiC PiN and JFETs for their USE in High-Voltage Bidirectional Power Devices (abstract)
11:00-12:30 Session 16B: Radiation Effects & Superjunction
Location: Room 306
11:00
Heavy-ion irradiation effects in 4H-SiC unipolar devices (abstract)
11:30
Impact of electron irradiation on SiC power MOSFET performance (abstract)
PRESENTER: Kotaro Matsuki
11:50
Effects of Proton Irradiation Before Device Fabrication on the Switching Characteristics of 3.3kV SiC MOSFETs (abstract)
PRESENTER: Kumiko Konishi
12:10
Annealing 4H-SiC Trenches for Superjunction Technology (abstract)
PRESENTER: Vishal Shah
11:10-12:30 Session 16A: Quantum Centers & Characterization
Location: Room 305
11:10
Control over the density of single photon emitters at SiO_2/SiC interfaces: CO_2 vs. Ar annealing (abstract)
PRESENTER: Takato Nakanuma
11:30
Investigation of the Stark Effect of TS and E color centers on a-face 4H-SiC (abstract)
11:50
Evolution of photoluminescence and optically detected magnetic resonance spectra of divacancy defects in 4H-SiC from cryogenic to room temperatures (abstract)
PRESENTER: Ivan G. Ivanov
12:10
Investigation of oxygen-related defects in 4H-SiC from ab initio calculations (abstract)
PRESENTER: Sosuke Iwamoto
14:00-16:00 Session 17B: Device Characterization & Defect Impacts
Location: Room 306
14:00
Temperature Dependence of 1200V-10A SiC Power Diodes: Impact of Design and Substrate on Electrical Performance (abstract)
PRESENTER: Ahmad Abbas
14:20
Exploring the Influence of Implant Profile and Device Design on Basal Plane Dislocation Generation in 1.2kV 4H-SiC Power MOSFETs (abstract)
PRESENTER: Stephen Mancini
14:40
Three level stress pulses to investigate gate switching instability (abstract)
PRESENTER: Dick Scholten
15:00
Investigation on effect of electrical characteristics of proton implanted 4H-SiC MOSFET (abstract)
PRESENTER: Naoki Shikama
15:20
Matching physical and electrical measurements (OBIC) to simulation (FEM) on high voltage bipolar diodes (abstract)
15:40
Using in-situ nanoprobing in the scanning electron microscope to visualize the local potential on a biased SiC p-n junction (abstract)
PRESENTER: Maximilian Moser
14:10-16:00 Session 17A: Bulk Growth 2
Location: Room 305
14:10
8-inch thick SiC crystals grown by solution growth method combined with digital twin (abstract)
PRESENTER: Toru Ujihara
14:40
Numerical Simulation Study on Different Scales to Suppress Solvent Inclusion Defects in SiC Solution Crystal Growth (abstract)
PRESENTER: Huiqin Zhou
15:00
Development of a 200 mm-Diameter 4H-SiC Crystal Using the HTCVD Method Enhanced by Process Informatics (abstract)
PRESENTER: Daisuke Uematsu
15:20
A novel method to grow 4H-SiC single crystals with low BPD densities on multiple substrates: Grown crystals’ properties and their controlling factors (abstract)
PRESENTER: Jun Yoshikawa
15:40
ML-based Surrogate Model for Temperature Prediction and Efficient Parameter Calibration of PVT Simulations (abstract)
PRESENTER: Lorenz Taucher
16:30-18:30 Session 18: Posters 4
Investigation on the CTE matching of graphite substrates and TaC coating (abstract)
PRESENTER: Bowen Dong
Thick Semi Insulating 4H-SiC Layer Exfoliation for Non-Epitaxial Engineered Substrates (abstract)
3C-SiC on Si substrates by Si and C multilayers transformation (abstract)
PRESENTER: Joerg Pezoldt
Development of a novel warpage control method for epi-ready 4H-SiC wafers by depositing homoepitaxial layers on both Si- and C-faces (abstract)
PRESENTER: Daichi Dojima
Submicron Diamond Slurry for Polishing Silicon Carbide Wafers (abstract)
PRESENTER: Timothy Dumm
Partial dislocation-induced surface irregularities observed on 4H-SiC homoepitaxial layers (abstract)
PRESENTER: Koki Kitahara
Highly spatially resolved photoluminescence characterization of the grown crystal/seed interface of physical vapor transport grown 4H-SiC crystals (abstract)
PRESENTER: Yuzo Takeda
Nitrogen Dopant Incorporation into epitaxial 4H-SiC: Influence of Chemical Vapor Deposition Growth Parameters and Materials (abstract)
A novel method to grow 4H-SiC single crystals with low BPD densities on multiple substrates: Behaviors of BPDs and other defects (abstract)
PRESENTER: Yuki Urata
Modeling Study of the Effect of Process Parameters and Wall Coatings on Doping Uniformity in SiC Epitaxy (abstract)
PRESENTER: Alex Galyukov
Influence of Deposition Techniques on the Electrical properties and Deep level Defect of Ga2O3/SiC heterojunction diode (abstract)
PRESENTER: Seung-Hwan Chung
Homoepitaxy of 4H-SiC on a-plane substrates (abstract)
PRESENTER: Robin Karhu
Clustering tendencies of C atoms in SiO2 matrix with different O-containing conditions: molecular dynamics study with a universal neural network potential (abstract)
PRESENTER: Hiroki Sakakima
Determining Compensation of Implanted Aluminum Dopants in 4H-SiC by Simultaneous Fitting of Charge Carrier Concentration and Mobility (abstract)
PRESENTER: Julian Kauth
Investigation on Bipolar Degradation caused by Micropipe in 3.3kV SiC-MOSFET (abstract)
PRESENTER: Hiroki Niwa
High Temperature Evolution of Thin Films Confined Between Two Silicon Carbide Substrates (abstract)
PRESENTER: Maëlle Le Cunff
Doping dependent electronic and kinetic properties of dislocations in 4H silicon carbide (abstract)
Novel Catalyst-Referred Etching Technology for Preparing Epi-Ready Silicon Carbide Substrates (abstract)
PRESENTER: Ara Philipossian
Threading Dislocation Behavior in the Facet Region of PVT-Grown 4H-SiC Crystals (abstract)
PRESENTER: Yafei Liu
Analysis of Lattice Damage in 4H-SiC Epiwafers Implanted with High Energy Al Ions with Silicon Energy-Filter for Ion Implantation (abstract)
PRESENTER: Zeyu Chen
A preliminary investigation of defects in GaN pn junction diodes using electrically detected magnetic resonance and near zero field magnetoresistance spectroscopy (abstract)
PRESENTER: Michael Elko
Carbon vacancy in commercial junction barrier Schottky diodes (abstract)
PRESENTER: Francis Ling
DFT calculations on the surface termination of 4H-SiC {10-10} and {11-20} during photoelectrochemical pore formation (abstract)
PRESENTER: Tingqiang Yang
Proton implantation into substrate and stacking faults in epitaxial layers (abstract)
PRESENTER: Masashi Kato
TCAD Model for Thermal Oxidation of 4H-SiC (abstract)
PRESENTER: Tamara Fidler
Fabrication of 3.3 kV SiC PiN diodes with step-ring-assisted junction termination extension for a reliable blocking capability (abstract)
PRESENTER: Sangyeob Kim
Damage Evaluation and Elemental Analysis of SiC Wafers Processed by Water Jet Guided Laser (abstract)
PRESENTER: Shuzo Masui
BCl3 Plasma Treatment for Enhanced Ohmic Contact Performance to P-type 4H-SiC (abstract)
PRESENTER: Hannan Yeo
ITO/4H-SiC Schottky contacts for UV applications (abstract)
PRESENTER: Razvan Pascu
Argon plasma treatment of 4H-SiC surface before nickel ohmic contacts formation by UV laser annealing (abstract)
Impact of interfacial SiO2 layer thickness on the electrical performance of SiO2/High-k stacks on SiC (abstract)
PRESENTER: Sandra Krause
Understanding of the impact of Carrot-like defects embedded in the 4H-SiC power MOSFET structure: a route for an effective device qualification (abstract)
PRESENTER: Patrick Fiorenza
Single-Event-Burnout in 1.2kV 4H-SiC Lateral RESURF Power MOSFET (abstract)
PRESENTER: Zhaowen He
Robust switching performance of 1.2 kV SiC MOSFETs using internal SBDs integration (abstract)
PRESENTER: Gyuhyeok Kang
Characterization of SiC trenches using innovative 3D CDSEM (abstract)
Instability in Thermal Impedance Characterization of SiC MOSFETs: The Impact of Reverse Conducting Channel Leakage on Body Diode Temperature-Sensitive Parameters Method (abstract)
PRESENTER: Kuo-Ting Chu
SiC for sensing in harsh environments: status, new efforts. (abstract)
PRESENTER: Marc Portail
The 3rd Quadrant Operation of 4th Generation SiC MOSFETs: Transients & Reverse Recovery (abstract)
PRESENTER: Saeed Jahdi
Progress Towards 4H-SiC Low Gain Avalanche Detectors (LGADs) (abstract)
PRESENTER: Ben Sekely
A Novel all-SiC Neural Interface: In-vivo Performance (abstract)
PRESENTER: Matthew Melton
Temperature- and Current-dependent On-state Resistance of Planar-gate SiC Power MOSFETs (abstract)
TCAD Model Parameter Calibration Strategy for 1200V SiC MOSFET (abstract)
PRESENTER: Jieun Lee
Stress fields distribution and simulation in 3C-SiC (111) resonators (abstract)
PRESENTER: Francesco La Via
Design Optimization of a 6.5 kV Split-Gate p-Channel 4H-SiC IGBT (abstract)
PRESENTER: Kuan-Min Kang
Vth Reduction Characterization of Wet-POA treated 4H-SiC p MOSFET (abstract)
PRESENTER: Shunto Higashi
Development and Demonstration of a High Temperature and High Performance Dual Side Cooling SiC Power Module for Automotive Application (abstract)
PRESENTER: Gongyue Tang
Formation of highly doped and defect-free p-type junctions in SiC by using high temperature implants and UV-Laser Annealing (abstract)
Ohmic contact technology using laser annealing by alloying Ni on 4H-SiC (abstract)
PRESENTER: Zeinab Chehadi
SiC half-bridge modules to improve efficiency and reduce area of high-power motor drives in space (abstract)
Controlled domain in 3C-SiC epitaxial growth on off-oriented 4H-SiC substrate for water splitting (abstract)
PRESENTER: Kongshik Rho
Friday, October 4th

View this program: with abstractssession overviewtalk overview

08:30-10:30 Session 19A: Epitaxial Growth 3
Location: Room 305
08:30
Spectral Investigation of Various Stacking Faults After Epitaxial Growth of 180m Thick Layer on 4H-SiC substrates (abstract)
PRESENTER: David Scheiman
08:50
Epitaxial growth of 280 μm thick 4H-SiC on 4°-off substrates for ultra-high-power devices (abstract)
PRESENTER: Misagh Ghezellou
09:10
Formation of alternating epilayers of 4H-SiC and 3C-SiC by simultaneous lateral epitaxy (abstract)
09:30
Investigation of Dry Transfer of Epitaxial Graphene from SiC(0001) (abstract)
PRESENTER: Jenifer Hajzus
09:50
Unleashing the Potential of Low Dimensional Silicon Carbide (abstract)
PRESENTER: Sakineh Chabi
10:10
New insights in Orientation and Growth of 150 mm GaN on SiC for HEMT (abstract)
08:30-10:30 Session 19B: Ion Implantation
Location: Room 306
08:30
Fabrication of the planer SiC gate-all-around JFET with channel dose modulation (abstract)
PRESENTER: Takanori Amamiya
08:50
Suppression of stacking-fault expansion in 4H-SiC diodes by helium implantation (abstract)
PRESENTER: Tong Li
09:10
Simulation of High-energy Channeling Implantation in 4H-SiC (abstract)
09:30
Thermal-oxidation and Ion-implantation-induced Strain in 4H-SiC (abstract)
09:50
Isolation Structure for Monolithic Integration of Planar CMOS and 1.7 kV Vertical Power MOSFET on 4H-SiC by High Energy Ion Implantation (abstract)
PRESENTER: Quan-Han Chen
10:10
Effect of counter-doping on threshold voltage and mobility in SiC p-channel MOSFETs (abstract)
PRESENTER: Ryoma Ito
11:00-12:30 Session 20B: Reliability & Robustness
Location: Room 306
11:00
Lifetime modeling of MOS based SiC vertical power devices under high voltage blocking stress (abstract)
PRESENTER: Ayan Biswas
11:30
Challenges of Transient Virtual Junction Temperature Measurement of SiC MOSFETs by VSD(T)-Method for Power Cycling – A Study on Impact Factors (abstract)
PRESENTER: Jakob Breuer
11:50
Bipolar degradation driven by junction-temperature controlled Power Cycling Milliseconds (PCmsec) in Silicon Carbide Power Devices (abstract)
PRESENTER: Sibasish Laha
12:10
Investigation of overcurrent turn-off robustness of 1200 V SiC MOSFETs (abstract)
11:10-12:30 Session 20A: Point Defects
Location: Room 305
11:10
Analysis of Silicon Vacancy Configurations and their Identification (abstract)
PRESENTER: Philipp Natzke
11:30
Characterization of the charge state of the silicon vacancy in 4H-SiC using low-energy muon spin spectroscopy (abstract)
11:50
Channeling proton implantation for localized defect control in 4H-SiC: A combined SIMS/DLTS depth profiling study (abstract)
PRESENTER: Orazio Samperi
12:10
Electrically Detected Magnetic Resonance and Near-Zero Field Magnetoresistance Measurements of Deep Level Defects in GaN Schottky Diodes (abstract)
PRESENTER: Artur Solodovnyk
13:30-15:00 Session 21A: Intrinsic Properties
Location: Room 305
13:30
Monte Carlo analyses on impact ionization coefficients in 4H-SiC (abstract)
PRESENTER: Hajime Tanaka
14:00
Low-field and high-field anisotropic electron transport in 4H-SiC (abstract)
PRESENTER: Ryoya Ishikawa
14:20
Application of photoexcited muon spin spectroscopy to study excess charge carrier lifetimes in 4H-SiC epilayers (abstract)
PRESENTER: Tim Niewelt
14:40
First principles study of acceptor impurities in 4H-SiC bulk and interfaces (abstract)
PRESENTER: Giovanni Alfieri
13:40-15:00 Session 21B: MOSFET Modeling
Location: Room 306
13:40
Physically Based Mobility Model for SiC MOSFETs in TCAD (abstract)
14:00
TCAD Modelling of Anisotropic Channel Mobility in 4H-SiC MOSFETs (abstract)
PRESENTER: Hemant Dixit
14:20
Influence of Threshold Voltage Mismatch on Switching Behavior of Parallel SiC Power MOSFETs (abstract)
14:40
A Physics-Based SPICE Model for a SiC Vertical Power MOSFET (abstract)
PRESENTER: Arman Ur Rashid