ICSCRM 2025: THE 22ND INTERNATIONAL CONFERENCE ON SILICON CARBIDE AND RELATED MATERIALS
PROGRAM

Days: Sunday, September 14th Monday, September 15th Tuesday, September 16th Wednesday, September 17th Thursday, September 18th Friday, September 19th

Sunday, September 14th

View this program: with abstractssession overviewtalk overview

Monday, September 15th

View this program: with abstractssession overviewtalk overview

08:40-09:25 Session 1: Plenary Lecture 1
Location: Auditorium
08:40
Unlocking New Possibilities: Power Devices and the Future of Semiconductors (abstract)
09:25-09:45 Session 2: Invited Posters
Location: Auditorium
09:25
Possible interaction between basal plane dislocations and point defects in physical vapor transport grown 4H-SiC crystals (abstract)
PRESENTER: Kazuyoshi Tanabe
09:30
Excess Carrier-Induced Modulation of SiC Mechanical Properties: Insights from First-Principles Calculations (abstract)
PRESENTER: Hiroki Sakakima
09:35
Impacts of wafer thinning process using laser slice technique on device characteristics (abstract)
PRESENTER: Kyohei Akiyoshi
09:40
Body diode reliability and reverse recovery characteristics of short tapered SJ-MOSFET fabricated by MeV Al ion implantation (abstract)
PRESENTER: Takeshi Tawara
09:45-10:15Break (30min)
10:15-11:45 Session 3A: Detectors & Emerging Devices
Location: Auditorium
10:15
Self-heating in 4H-SiC Avalanche-Photodiodes and its Impact on Spectral Responsivity Measurements (abstract)
PRESENTER: Felix Beier
10:30
Impact of Device Structure on the Performance of Ion-Implanted SiC Phototransistors (abstract)
PRESENTER: Yang Liu
10:45
Defects induced by high-temperature neutron irradiation in 250 µm thick 4H-SiC p-n junction detector (abstract)
11:00
Linking Heavy-Ion Irradiation and Degradation of Silicon Carbide Devices using TCAD (abstract)
PRESENTER: Axel Erlebach
11:15
Characterization of 4H-SiC lateral MOSFETs up to 773K (abstract)
PRESENTER: Nicola Rinaldi
11:30
1.2 kV SiC MOSFET with Reduced Dynamic Losses Enabled by SiN Gate Dielectric (abstract)
PRESENTER: Tommaso Stecconi
10:15-11:45 Session 3B: Quantum Devices
10:15
(Invited) A SiC-based Desktop Quantum Computer (abstract)
10:45
Room-temperature coherent photoelectrical readout of single spins in 4H-SiC (abstract)
11:00
Theory of Electrically Detected Magnetic Resonance of Silicon-Vacancy-Related Defects in Silicon Carbide (abstract)
PRESENTER: Michael Flatté
11:15
Scalable Fabrication and Electrical Characterization of Lateral pin-Diodes on 4H-SiC a-Plane Wafers for Functionalization of VSi (abstract)
11:30
Tunable, highest-quality factor mechanical oscillators for quantum technology (abstract)
PRESENTER: Andre Hochreiter
11:45-13:00Lunch (75min)
13:00-14:15 Session 4A: Bulk Growth 1
Location: Auditorium
13:00
(Invited) Approaches Toward High-Quality and Cost-Effective Bulk Growth of SiC Crystals (abstract)
PRESENTER: Won-Jae Lee
13:30
Close Space PVT Growth of n- and p-type quasi-bulk SiC in a Classic PVT Setup and a Newly Developed TableTopCS Growth Machine (abstract)
PRESENTER: Peter Wellmann
13:45
SiC Growth by Multi-Wafer Close-Space Sublimation (abstract)
14:00
New insights on nitrogen doping of polycrystalline SiC fabricated by CVD (abstract)
PRESENTER: Yann Gallou
13:00-14:15 Session 4B: Quantum Communication
13:00
(Invited) Atomic defects in silicon carbide – A scalable quantum technology (abstract)
13:30
Simulation and Experimental Characterization of Skyfish Cavities in 4H-SiCOI for Future Quantum Networks (abstract)
PRESENTER: Gerben Timmer
13:45
Entangled Photon Source on the SiCOI Platform (abstract)
14:00
Exploring vanadium defects in SiC for quantum communication (abstract)
PRESENTER: Philipp Koller
14:15-14:45Break (30min)
14:45-16:00 Session 5A: Ion Implantation
Location: Auditorium
14:45
Compact Edge Termination Design for Ultra High-Voltage (>10 kV) 4H-SiC Power Devices using Background Doping Modulation (BDM) (abstract)
PRESENTER: Mohamed Torky
15:00
Effect of Varying N⁺ Source Implantation Depth on the Electrical Characteristics of 1.2 kV 4H-SiC MOSFETs (abstract)
15:15
Development of High Energy Channeling Implantation Process for SiC Superjunction Devices (abstract)
PRESENTER: Reza Ghandi
15:30
Path for superjunction industrialization by single step high energy channeling implant (abstract)
PRESENTER: Fulvio Mazzamuto
14:45-16:00 Session 5B: Degradation Phenomena
14:45
A Multi-Manufacturer Test Campaign to Assess the Power Cycling Capability of Silicon Carbide MOSFETs in TO-247 Packages (abstract)
PRESENTER: Felix Hoffmann
15:00
Impact of the Negative Gate Bias on Short-Circuit Robustness of SiC MOSFETs with measurements and simulations (abstract)
15:15
Impact of current density, accumulated injected charge and temperature on bipolar degradation in 4H-SiC PiN diodes (abstract)
PRESENTER: Rijuta Bagchi
15:30
Carrier lifetime in 4H-SiC substrates and relationship with device reliability (abstract)
PRESENTER: Keisuke Nagaya
15:45
Reliability Prediction of SiC MOSFETs via Triple-Sense Vth Measurement and PCA-based Degradation Modeling (abstract)
PRESENTER: Yoshiki Takinai
16:00-16:15Break (15min)
16:15-18:00 Session 6: Posters (MON)
Strain Relief of Silicon Carbide (4H-SiC) Substrates by Wet Etching (abstract)
PRESENTER: Norbert Bay
Ultra-Pure SiC Source material for optical SiC crystal growth (abstract)
PRESENTER: Jan Richter
Influence of interfacial pores between seed/graphite holder interface on thermal field in 4H-SiC crystals grown by physical vapor transport (abstract)
PRESENTER: Daisuke Tahara
Oxygen Pressure-Induced Phase Transition in Ga₂O₃/4H-SiC Heterojunction Diodes: From β to ε (abstract)
PRESENTER: Jinwoo Choi
Graphite – The Hot Zone Hero (abstract)
PRESENTER: Melih Badir
Optimizing Diamond Slurry Parameters for Polishing SiC Wafers (abstract)
PRESENTER: Jacob Palmer
Fabrication of 8-inch High-Purity 4H-SiC Single Crystal Substrates (abstract)
PRESENTER: Xianglong Yang
Polytype Transitions in Silicon Carbide: A Macroscopic View (abstract)
PRESENTER: Joerg Pezoldt
Investigation on the effect of interface supersaturation on the growth rate and the step structures in the solution growth of 4H-SiC Single Crystals (abstract)
PRESENTER: Yusaku Sakata
Photoluminescence studies of defect formation during the seeding process of physical vapor transport growth of SiC (abstract)
PRESENTER: Toshitatsu Iwai
Surface Analysis of SiC Wafers after Sonic Lift-Off: Replacing Backgrinding to Enable SiC Wafer Reuse (abstract)
High Quality 8-inch 4H-SiC Epitaxial Products (abstract)
PRESENTER: Yu Guo
Development of Wide Bandgap Semiconductor Substrates Using Data and AI Techniques Based on Process Informatics: Solutions for SiC Crystal Growth (abstract)
PRESENTER: Seong-Min Jeong
SiC engineered substrate versatility demonstration versus industry requirement (abstract)
Evaluation of the apparent electrical conductivity of carbon fiber-based insulations used in the PVT process (abstract)
PRESENTER: Yann Gallou
Ignition point control of high oxidant concentration CMP slurry (abstract)
PRESENTER: Yasuaki Kimikado
Optimization of DIW brush cleaning technology using spin scrubber in SiC device manufacturing process (abstract)
PRESENTER: Seiu Katagiri
Investigation of Micropipe Defects and Their Strain Field Distortions in SiC Substrates Using X-ray Topography (abstract)
PRESENTER: Li Sun
Ultrafast Imaging for Deep Defect Imaging Through Entire SiC Wafers (abstract)
PRESENTER: Torben Purz
Influence of Temperature Field and Doping on BPD Distribution in 8-inch 4H-SiC Substrates (abstract)
PRESENTER: Zhenxing Fu
Classification of scratch-like polishing damage in 4H-SiC wafers using mirror projection electron microscope (abstract)
PRESENTER: Hideki Sako
Evaluation of oxide processing steps using contactless corona-based CV measurements (abstract)
PRESENTER: Robin Karhu
Ultraviolet Luminescence of N-type SiC crystals grown with various sources under different growing conditions via PVT method (abstract)
PRESENTER: Jae-Hyeon Park
Observation and Analysis of the “Galaxy” Defect in 4H-SiC Wafer Through X-Ray Synchrotron Topography (abstract)
PRESENTER: Kaixuan Zhang
Wafer-scale, non-destructive characterization of dislocations in 4H-SiC combining birefringence imaging and laboratory X-ray topography (abstract)
PRESENTER: Shunta Harada
Optical characterization of heavy-ion induced damage in 4H-SiC (abstract)
Minority charge carrier lifetime for evaluating 4H-SiC epitaxial growth by Microwave detected Photoconductivity Decay (abstract)
Atomistic simulations of SiC crystal growth phenomena (abstract)
PRESENTER: Lorenz Romaner
VT modulation by dipole effect on 4H-SiC with Atomic Layer Deposited Al₂O₃/SiO₂ dielectrics (abstract)
PRESENTER: Bongmook Lee
Effects of 673K temperature ramps on a 4H-SiC CMOS NOT logic gate (abstract)
PRESENTER: Nicola Rinaldi
Suppression of stacking faults expansion by backside proton implantation into SiC substrates (abstract)
PRESENTER: Tong Li
Enhanced Breakdown Voltage and Enlarged Process Window for Junction Termination Extension in SiC Power Devices Using Hybrid Random and Channeling Implantation (abstract)
PRESENTER: Shuiyou Zheng
Investigation on thermal stability for Silicon-cap-annealed Ohmic Contact on n-type 4H-SiC (abstract)
Silicon Carbide Wafering (abstract)
PRESENTER: Chun-Ming Chen
Reduction of Sidewall Roughness in SiC Trench Formation by Improvement of Photoresist Mask (abstract)
PRESENTER: Alesa Fuchs
Multi-scale model of ICP-RIE process for improvement of SiC gate trench shape (abstract)
PRESENTER: Andrey Smirnov
Electrical Properties of 4H-SiC MOSFETs on Non-Polar Faces with Various Surface Treatments (abstract)
PRESENTER: Woongsun Kim
Extraction of trench sidewall capacitance by linear component separation towards wafer level evaluation (abstract)
PRESENTER: Maximilian Szabo
Next generation laser annealing for high throughput SiC ohmic contact formation and dopant activation (abstract)
PRESENTER: Sebastian Geburt
Impact of ambient conditions on oxide thickness distribution on 4H-SiC in thermal oxidation furnace (abstract)
PRESENTER: Tamara Fidler
Fabrication of high-performance SiC MOSFETs via 2-step annealing in H₂/Ar gas mixtures: A novel method without interface nitridation (abstract)
PRESENTER: Hiroki Fujimoto
Study on Temperature Coefficient of Vth of 4H-SiC MOSFETs on Si-face (abstract)
PRESENTER: Jia-Wei Hu
Dynamic conduction behavior of SiC-Mosfets in the subthreshold regime and the impact of deep oxide traps to the channel depletion (abstract)
PRESENTER: Marvin Gloth
5 MGy Gamma-ray Radiation Effects on 4H-SiC Embedded Photodiode (abstract)
PRESENTER: Kazuma Tanigawa
Investigation of Electrical Degradation in 1.2 kV SiC MOSFETs with Embedded SBDs under Power Cycling Test (abstract)
PRESENTER: Gyuhyeok Kang
JTE-Based Termination design and technology considerations for 1200 V 4H-SiC Superjunction MOSFETs (abstract)
PRESENTER: Zihan Zhang
Simultaneous p- and n-type doping carrier profiling of 4H-SiC MOSFETs by Scanning Microwave Impedance Microscopy (abstract)
PRESENTER: Patrick Fiorenza
Technology Challenges in Achieving the Quasi-Planar Trench 3.3 kV SiC MOSFETs Performances (abstract)
PRESENTER: Luca Maresca
Investigation of the P-body effect on Reverse Recovery and Static Characteristics of 1.2 kV 4H-SiC Power MOSFET (abstract)
The Tunneling Field-Effect Transistor as Novel Device Concept for High-Frequency Hard-Switching Power Electronics (abstract)
Influence of different contact lengths on 4H-SiC TLM test structures (abstract)
PRESENTER: Maximilian Ley
Simulating Short Circuit events on SiC MOSFET devices (abstract)
Impact of Transient Surge Current Pulses on Bipolar Degradation in SiC Power MOSFETs (abstract)
PRESENTER: Alexander Brunko
Investigation of Asymmetric Switching Characteristics of SiC MOSFETs Using Double Pulse Test (abstract)
PRESENTER: Yeonju Lee
New 1200V SiC MOSFET with improved specific-on-resistance and short-circuit capability characteristics (abstract)
PRESENTER: Chang-Ju Lee
10 hours 500°C Heating Test of 4H-SiC MOSFETs with Pt/Ti and Pt/TiN electrodes (abstract)
PRESENTER: Ryosuke Namba
Analysis of Repetitive Surge Current in Commercial SiC Schottky Diodes (abstract)
PRESENTER: Jenny Damcevska
Anodic Oxidation of 4H-Silicon Carbide (abstract)
PRESENTER: Roberta Vitale
Impact of JFET Width on Gate Oxide Reliability under HTRB Conditions in 1700V SiC MOSFETs (abstract)
PRESENTER: Min-Jae Park
RC Snubber Co-Design of SiC Power Modules considering Impact on Transient Switching Characteristics (abstract)
PRESENTER: Bong Hak Lee
Impact of Gamma-Ray Irradiation on the Dynamic Switching Performance of TO-247-3L vs. TO-247-4L 4H-SiC MOSFETs (abstract)
PRESENTER: Sangyun Song
Impact of VDS Bias, Load Current and Temperature on Long-term Switching Operation of 1.2 kV SiC MOSEFTs (abstract)
PRESENTER: Sara Kuzmanoska
Investigating the Mechanisms of the Single Event Effect Lekage Current (SELC) and Single Event Burnout (SEB) in SiC Power Diodes under Heavy-Ion Irradiation (abstract)
PRESENTER: Natalija Für
A low inductance SiC MOSFET power module packaging design with PCB shielding layer (abstract)
PRESENTER: Haiyong Wan
Development of a DBC-Free and Compact Six-in-One SiC Power Module with Enhanced Dual-Side-Cooling Solution (abstract)
PRESENTER: Gongyue Tang
Dynamic switching benchmark of latest gen SiC MOSFETs for Automotive and Urban Air Mobility power modules (abstract)
Where light ends, energy begins: Transformation of waste bulbs enclosures into multiphasic silicon carbide for Lithium-ion battery (abstract)
PRESENTER: Kyungah Yang
Diamond Integration on SiC Substrates for Enhanced Heat Dissipation in Power Semiconductor Devices (abstract)
PRESENTER: Tae-Yong Park
Ultra-thick (~200 µm) epitaxy on 150mm 4H-SiC Wafers Using Single Wafer CVD Reactor (abstract)
PRESENTER: Nicolas Thierry
18:00-18:30Break (30min)
Tuesday, September 16th

View this program: with abstractssession overviewtalk overview

08:30-09:15 Session 8: Plenary Lecture 2
Location: Auditorium
08:30
4H-SiC as Material for Electricity Infrastructure (abstract)
09:15-09:45Break (30min)
09:45-11:30 Session 9A: Bulk Growth 2
Location: Auditorium
09:45
(Invited) 200 mm 4H-SiC Substrate Status and 300 mm 4H-SiC Substrate Development (abstract)
PRESENTER: Gao Chao
10:15
Quality Improvement in 200mm Silicon Carbide Substrate (abstract)
PRESENTER: Rajan Rengarajan
10:30
Mechanisms and Modeling of Degradation of Graphite Crucible and Thermal Insulation During PVT Growth of SiC Crystals (abstract)
PRESENTER: Andrey Smirnov
10:45
Efficient Thermal Field Optimization of Physical Vapor Transport Simulations for Silicon Carbide Single Crystal Growth (abstract)
PRESENTER: Lorenz Taucher
11:00
Reactive Infiltration SiC Crucibles Unlock Macrodefect Mitigation in 2-Inch SiC Solution Growth (abstract)
PRESENTER: Sakiko Kawanishi
11:15
Reconstruction of PVT-SiC Growth Setup to Simultaneously Achieve High Material Yield, High Growth Rate, and Large Crystal Height (abstract)
PRESENTER: Daisuke Nakamura
09:45-11:30 Session 9B: FinFETs and Channel Characterization
09:45
(Invited) TCAD-Driven Design and Analysis of Advanced 3D SiC MOSFET Structures (abstract)
10:15
Origin of high mobility in 4H-SiC FinFETs through theoretical analysis of electron scattering mechanism (abstract)
PRESENTER: Shion Toshimitsu
10:30
FinFET Effect of Vertical-channel Fin-SiC MOSFET (abstract)
PRESENTER: Tomoka Suematsu
10:45
Study of interface traps and scattering mechanisms in 4H-SiC MOS channel using gated Hall measurements (abstract)
PRESENTER: Suman Das
11:00
Investigation of SiC MOSFETs Gate Capacitance Peak with Biased Drain and its relation with Transconductance (abstract)
PRESENTER: Ilaria Matacena
11:15
Comparison of Ramp- and ac-based C-V Methods for Characterization of SiC Power MOSFETs (abstract)
PRESENTER: Michel Nagel
11:30-13:00Lunch (90min)
13:00-14:15 Session 10A: Substrate Processing
Location: Auditorium
13:00
(Invited) Smart Cut™ for Wide Bandgap Semiconductors: Enhancing SiC’s Potential (abstract)
PRESENTER: Julie Widiez
13:30
Characterization of Al-Gate MOS Capacitor on Thermally-Oxidized 3C/4H Hybrid Polytype-Heterostructure Si-Face SiC(0001) Wafer Fabricated by Simultaneous Lateral Epitaxy (SLE) Method (abstract)
PRESENTER: Masao Sakuraba
13:45
Key Mechanisms of Laser-Based Splitting and Dicing of 4H-SiC Wafers (abstract)
PRESENTER: Hanan Mir
14:00
Comparison of Mo, Mo-carbide and Mo-silicide Schottky contacts on 4H-SiC (abstract)
PRESENTER: Marilena Vivona
13:00-14:15 Session 10B: Radiation Effects and Late News
13:00
In-situ measurement of the gain stage of a SiC JFET operational amplifier under gamma ray irradiation (abstract)
13:15
Improvement of Single-Event Gate Rupture tolerance by terraced gate 4H-SiC DMOSFET (abstract)
PRESENTER: Kana Hiramastu
13:30
Investigation on the Resistance Degradation of Trench SiC MOSFETs under Total Ionizing Dose Radiation and High Drain Voltage Bias (abstract)
PRESENTER: Zhaoxu Song
13:45
Channel Length Effects on Threshold Voltage Instability in Gamma-Irradiated 4H-SiC PMOSFETs (abstract)
PRESENTER: Chuan-Han Chen
14:00
Isolation of cumulative heavy-ion induced gate degradation effects within a commercial 4H-SiC double trench MOSFET (abstract)
PRESENTER: Peter Gammon
14:15-14:45Break (30min)
14:45-16:15 Session 11A: Extended Defect 1
Location: Auditorium
14:45
(Invited) The mechanisms of Defect Replication, Propagation, Modification, Nucleation and Multiplication in 4H-SiC PVT-grown Substrates and CVD-grown Homo-epitaxial Layers (abstract)
PRESENTER: Michael Dudley
15:15
Synchrotron X-ray topography analysis of low angle grain boundaries Induced by Growth Step Flow in PVT-Grown 4H-SiC Crystals (abstract)
PRESENTER: Jianpei Zhang
15:30
Revisiting the (4,1) Frank-Type Stacking Fault in 4H-SiC: Extrinsic or Intrinsic Stacking Fault? (abstract)
PRESENTER: Soon-Ku Hong
15:45
Polytype analysis of 3C-SiC/4H-SiC stacked epilayers on trenched 4H-SiC substrates by Raman spectroscopy (abstract)
PRESENTER: Masashi Kato
16:00
Investigation of Spoke Pattern of Stacking Faults in 4H-SiC Wafers Grown by Physical Vapor Transport Method (abstract)
PRESENTER: Zeyu Chen
14:45-16:15 Session 11B: High-Voltage Devices
14:45
(Invited) Technology Trends of performance improvement in SiC MOSFETs: The Impact of Trench and Super Junction Structure Technology (abstract)
PRESENTER: Hiroshi Kono
15:15
Effect of Dynamic AGE-ing Process on the Electrical Characteristics of 3.3kV SiC MOSFETs (abstract)
PRESENTER: Kumiko Konishi
15:30
High-Voltage Performance Evaluation of 6.5 kV 4H-SiC JBSFET Architectures and MOSFET with Enhanced 3rd Quadrant Conduction (abstract)
15:45
Minimizing edge termination footprint in UHV SiC power devices: an area-efficient edge structure for power devices rated over 10 kV (abstract)
PRESENTER: Marco Pocaterra
16:15-16:30Break (15min)
16:30-18:30 Session 12: Posters (TUE)
Analysis of Axial Resistivity During SiC Crystal Growth by PVT Method (abstract)
PRESENTER: Lingling Xuan
Homoepitaxy and defect control of 300-μm ultra-thick 4H-SiC layers (abstract)
PRESENTER: Rong Wang
Development of high concentration uniformity epitaxial growth on 200 mm 4H-SiC wafers (abstract)
PRESENTER: Weining Qian
Ring Coating Thickness Control for High Quality N type 4H-SiC Epilayers Based on Growth and Doping Kinetic Analysis (abstract)
PRESENTER: Jiahui Wang
Control of SSF Defects in 8-inch SiC Epitaxial Wafers (abstract)
PRESENTER: Zehua Wang
Heteroepitaxial Growth of CVD SiC on Graphene Buffer Layers Formed on SiC wafers (abstract)
PRESENTER: Jungmin Lee
Improved Particle Removal and Sub-Nanometer Roughness Control in 4H-SiC Wafers Using PVA Brush Conditioning (abstract)
PRESENTER: Yoon-Ji Ra
An In-Situ Study of Al Effects on Step Structures under Diffusion Controlled 4H-SiC Solution Growth (abstract)
Effects of Post-Deposition Annealing on Temperature dependent Electrical Characteristics of SnO₂/4H-SiC Schottky Barrier Diodes (abstract)
PRESENTER: Chang-Jun Park
Comprehensive Analysis of Carrier Lifetime and Deep-Level Traps in 4H-SiC: Impact of Epitaxial Growth Temperature and Rate (abstract)
PRESENTER: Firas Faisal
Thick SiC-4H epitaxy perspectives (abstract)
PRESENTER: Silvio Preti
The effect of the Si partial pressure depending on SiC powder stacking configurations on the heat treatment of 4H-SiC wafers (abstract)
PRESENTER: Gyeong Jun Song
Improvement of SiC crystal quality and residual stress by a seed adhesion process employing carbon-based adhesive and buffer-layer (abstract)
PRESENTER: Na Kyeoung Kim
Numerical Simulation of Optimal Source Temperature Distribution in PVT Method for SiC Single Crystals (abstract)
PRESENTER: Shota Tani
SiC Single Wafer Chemical Mechanical Polishing Process Improvements for Throughput and Surface Finish (abstract)
PRESENTER: Ruijie Li
SIMS Profiling of 3D SiC Mesas: Top and Sidewall Analysis (abstract)
Improvement of gate-source short failure by suppressing abnormal polySi layer in 1200V SiC MOSFETs (abstract)
PRESENTER: Haeri Kwon
Non-Destructive Optical Detection of Dislocation Defects in N-type SiC Substrate Wafers (abstract)
PRESENTER: Yuzhong Chen
Advanced defects study and monitoring in new generation 4H-SiC devices (abstract)
PRESENTER: Nicolo Piluso
Investigation of Mechanical Stress and Warpage in 200mm Silicon Carbide Wafers: Implications for Production Scalability (abstract)
PRESENTER: Lin Dong
Multi-channel defect inspection for 4H-SiC epitaxial wafer and integrated defect classification (abstract)
PRESENTER: Masaki Hasegawa
Non-contact Micro-scale Imaging Analysis of Electrically Active Defects Causing EOL Failures in Merged PiN Schottky Diodes (abstract)
PRESENTER: Marshall Wilson
Formation and reduction of surface pits on 4H-SiC epitaxial layer (abstract)
PRESENTER: Weining Qian
Optical localization of passivation discoloration using admittance spectroscopy (abstract)
PRESENTER: Marvin Gloth
Characterization of 3C-SiC thick epitaxial layers grown on off- and on-axis 4H-SiC substrate (abstract)
PRESENTER: Jun Fujita
Thin transition zone measurement in silicon carbide epi structures by Fourier transform infrared reflectometry and point contact current-voltage technique (abstract)
Insight into the Features and Characteristics of Stacking Faults on 129 4H-SiC Epitaxial Wafers Investigated Using Wafer-Scale Photoluminescence Mapping and Spectra Analysis Combined with HAADF HR-STEM (abstract)
PRESENTER: Hyundon Jung
Challenges in 1SSF detection in 4H-SiC epilayer and related failure (abstract)
Impact of ICP-RIE Process-Induced Deep-Level Defects on Carrier Lifetime in 4H-SiC Epitaxial layers (abstract)
PRESENTER: Minseok Kim
Investigating the temperature dependence of charge carrier lifetime in low-doped n-type 4H-SiC (abstract)
PRESENTER: Anders Hallén
Analysis of Overlapping Capacitance Transients in DLTS and MCTS for Commercial SiC Power Diodes (abstract)
PRESENTER: Natalija Für
Chelation-Assisted Cleaning for Effective Removal of Al and Mn Contaminants on 4H-SiC Wafer (abstract)
PRESENTER: Yeon-Je Gye
Evaluation of transmission spectra of vanadium-doped 4H-SiC substrates by optical transmittance measurement (abstract)
PRESENTER: Haruhiko Udono
Characterization of Stress and Defects in 4H Silicon Carbide Wafers by Scanning Infrared Depolarization (abstract)
PRESENTER: Markus Stoehr
Inhomogeneity of recombination lifetime in 4H-SiC epitaxial layers and surface passivation (abstract)
Effects of Conditioning Disc Designs on the Tribological, Vibrational, Thermal, Kinetic, Pad Micro-Textural and Pad Wear Characteristics of Silicon Carbide CMP Processes (abstract)
PRESENTER: Ara Philipossian
Effects of Thermal and CVD Gate Oxides on Dielectric Properties and Sidewall Capacitance in SiC Trench MOS Capacitors (abstract)
PRESENTER: Hyung-Jin Lee
Improved Silicide Formation in Vertical 4H-SiC JFET via Double Spacer Process (abstract)
PRESENTER: Gihoon Park
Critical Wafer Processes for SiC Device Fabrication (abstract)
PRESENTER: Dave Thomas
Decoupled Plasma Nitridation of SiC surface for Interface States Density reduction in 4H-SiC MOS Capacitors (abstract)
PRESENTER: Patrick Fiorenza
Impact on SiC/SiO₂ interface by N₂/H₂ high temperature pretreatment and SiO₂ Deposition (abstract)
PRESENTER: Heng Wang
Utilizing SiO₂ Reflow for Corner Rounding to Prevent Cracking in Passivation Layers Above 500 °C (abstract)
PRESENTER: Julien Koerfer
Study on Electrochemical Assisted Fixed-Abrasive Lapping for Wafer Thinning of Monocrystalline Silicon Carbide Wafer (abstract)
PRESENTER: Shao-Yuan Huang
SiO₂/SiC Interface Engineering via Low-temperature NO Oxynitridation of SiC Surface Feasible with Si Device Fabrication Equipment (abstract)
Effect of Silicon and Oxygen Pre-Implantation on Thermal Oxidation of 4H-SiC (abstract)
Exploring Backside Contact Formation on Bilayer SiC Engineered Substrates Using Nanosecond Laser Anneal (abstract)
Effect of Al₂O₃ and HfO₂ Interfacial Layers on Leakage Current in 4H-SiC MIS Diodes (abstract)
PRESENTER: Hyeon-Do Kang
Improvement in On-Resistance of 1200 V 4H-SiC VDMOSFETs using SmartSiC™ Wafers (abstract)
PRESENTER: Servin Rathi
The effect of different pulse widths on the laser slicing of 8-inch 4H-SiC (abstract)
PRESENTER: Haoyu Fan
UV Laser Annealing Effects on Highly Implanted 4H-SiC Epilayers (abstract)
Surface engineering of the 4H-SiC SiO₂/SiC interface by combining atomic layer deposition and atomic layer etching (abstract)
Channeling ion implantation along the <0001> direction in 4H-SiC: difference in the Si- and C-faces (abstract)
4H-SiC p⁺/n diodes as environment to modify ⁷Be radioactive decay time (abstract)
PRESENTER: Marica Canino
Effect of energy injection on the interfacial structure and mechanical behavior of Si/Al: A molecular dynamics study (abstract)
PRESENTER: Ji Hwan Kim
Optical pump-terahertz probe spectroscopy for measuring 4H-SiC carrier lifetime through complex surface layers (abstract)
Dynamic Current-Voltage Behavior of SiC Power MOSFETs (abstract)
PRESENTER: Michel Nagel
A Methodology for Channel Mobility Extraction in SiC Vertical Power MOSFETs (abstract)
PRESENTER: Yu-Chieh Chien
Design of Robust Edge Termination Applied to 4.5kV SiC SBD Embedded MOSFET Against Humidity (abstract)
PRESENTER: Daichi Dansako
A Comparative Analysis of Proton Irradiated 1.2 kV SiC Edge Termination Test Group (abstract)
PRESENTER: Sangyeob Kim
Optimization of a 1200V SiC lateral SiC Schottky diode (abstract)
PRESENTER: Zhaoxue Yuan
Impact of optical phonon scattering on Inversion Layer Mobility in 4H-SiC p-channel lateral MOSFETs (abstract)
PRESENTER: Ji-Hyun Kim
5 MGy Gamma-ray Radiation Effects on 4H-SiC Active Pixel Sensors (abstract)
PRESENTER: Tatsuya Meguro
Fowler-Nordheim current at negative gate bias in SiC MOSFETs (abstract)
PRESENTER: Dick Scholten
Advanced Simulation Methodology of Switching Performance and Reverse Recovery Effects of SiC MOSFET and Influence of Parasitic Components on Simulation Results (abstract)
PRESENTER: Dan Zurek
Experimental analysis of 4H-SiC CMOS NOT logic gate down to 100K (abstract)
4H-SiC CMOS D-type Flip-Flop Circuits for Logic Circuits in Harsh Environments (abstract)
PRESENTER: Taisei Ozaki
Impact of Edge Termination Structure and Length on Switching Characteristics of SiC MOSFETs (abstract)
PRESENTER: Hyunyong Park
Influence of Cell Structure and Topology on Coss of 4H-SiC MOSFET (abstract)
PRESENTER: Ruei-Ci Wu
TCAD modeling of temperature dependent transfer characteristics of 4H-SiC Lateral MOSFETs (abstract)
PRESENTER: Hemant Dixit
Study of SiC Thyristors with Integrated Temperature Sensors (abstract)
PRESENTER: Yuan Lei
Simulation of highly sensitive 3C-SiC strain sensor (abstract)
PRESENTER: Angela Garofalo
A Novel Structure Design of SiC-based SCR Structure with High Holding Voltage Using Segment Topology for High Voltage ESD Protection (abstract)
PRESENTER: Sang Gi Kim
A Physics-based SiC DSRD SPICE Model for Pulsed Power Circuit Simulation (abstract)
PRESENTER: Jingkai Guo
Ga₂O₃ film with ultrahigh breakdown field via novel aerosol deposition method (abstract)
PRESENTER: Jun-Woo Lee
Electrical identification of color centers in 6H silicon carbide using a comparative study of deep level transient spectroscopy and density functional theory (abstract)
PRESENTER: Erlend Ousdal
Aerosol-Deposited Ga₂O₃–SiC Composite Films for Enhanced Thermal Performance in Power Semiconductor Devices. (abstract)
PRESENTER: Hyeong-Seok Oh
Ag:AZO Electrodes Deposited by Co-sputtering Using FTS to Improve Photodetector Performance (abstract)
PRESENTER: Hanbi Jung
Investigation of phase transition and its impact on the crystal structure and material properties of polycrystalline α/β Ga₂O₃ thin film (abstract)
PRESENTER: Jeong-Min Youn
Low-pressure Mist-CVD technique for Sn-assisted epitaxial growth of high-crystallinity κ/ε-Ga₂O₃ (abstract)
PRESENTER: Yan Wang
Impact of Socket and Current Shunt on Switching Characteristics of GaN HEMTs (abstract)
PRESENTER: Yeonwoo Seo
Application of ALD-derived Hf₀.₂₅Al₀.₇₅O-based as Gate Dielectric in AlGaN/GaN High Electron Mobility Transistor (abstract)
PRESENTER: Chun-Yu Lin
Growth and Properties of SiGe - AlN Core-Shell Crystals (abstract)
PRESENTER: Eunmin Kwon
Si Layer Formation on SiC Substrates by HVPE Sublimation Sandwich Method (abstract)
PRESENTER: Donghyeon Jeong
Optical characterization of doping-induced defects in n-type 4H-SiC (abstract)
PRESENTER: Aurora Teien
Towards High-Efficiency Photonic Interfaces for Colour Centres in Silicon Carbide (abstract)
PRESENTER: Nien-Hsuan Lee
Impact of oxidation temperature on the formation and annihilation of color centers at SiO₂/SiC interfaces (abstract)
PRESENTER: Yu Kaneko
Generation of Divacancy Colour Centres in 4H-Silicon Carbide for Quantum Nanophotonics with Optically Active Spins (abstract)
The Impact of Pre-Growth Treatment of Si- and C-face 4H-SiC Substrates on the Heteroepitaxial Growth of β-Ga₂O₃ by Plasma-Assisted Molecular-Beam Epitaxy (abstract)
PRESENTER: Raouf Hayyak
Coherent Control and Narrow-Linewidth Spectroscopy of a Four-Level Silicon Vacancy Spin in Silicon Carbide (abstract)
PRESENTER: Seung-Jae Hwang
Experimental Investigation of Single-Event Effect Mechanisms in 1200V SiC VDMOSFETs Under Heavy-Ion Irradiation (abstract)
PRESENTER: Zhiwen Zhang
Experimental study of Single-Event Irradiation Hardness of 4H-SiC Power MOSFET with Trench Well and Corner P-Pillar (abstract)
PRESENTER: Keyu Liu
Reducing Parasitic Inductance Through Power Terminal Grouping in SiC Power Modules for EV Applications (abstract)
PRESENTER: Jaejin Jeon
Fast Speed SiC IPM Module with Zero Voltage Gate Driving Utilizing Active Miller Clamp Function on Drive IC (abstract)
PRESENTER: Samuell Shin
Novel 1.2kV SiC MOSFET with New Top-side Cooling Package for Automotive Applications (abstract)
Development and Evaluation of a 1.2kV SiC MOSFET-Based PTC Controller for Energy-Efficient xEV Heating Applications (abstract)
PRESENTER: Jang-Kwon Lim
High-Density Packaging Approaches for SiC Power MOSFET Modules (abstract)
PRESENTER: Saeed Jahdi
Comparative Numerical Analysis of Cu Clip and Al Wire Bonding Structures in SiC Schottky Barrier Diodes (abstract)
PRESENTER: Na-Yeon Choi
Highest efficiency and best performance for inverter motor drives in smallest form factor with new SiC MOSFET-based IPM (abstract)
PRESENTER: Sangmin Park
Wednesday, September 17th

View this program: with abstractssession overviewtalk overview

08:30-09:15 Session 13: Plenary Lecture 3
Location: Auditorium
08:30
It Takes a Village: Shaping the Next Era in SiC Technology (abstract)
09:15-09:45Break (30min)
09:45-11:30 Session 14A: Defect Interactions
Location: Auditorium
09:45
(Invited) Point defect engineering in SiC to realize highly reliable power devices (abstract)
PRESENTER: Masashi Kato
10:15
Investigation on Bipolar Degradation caused by In-grown Stacking Fault in 3.3 kV SiC-MOSFET (abstract)
PRESENTER: Hiroki Niwa
10:30
Impact of threading dislocations on carrier lifetimes in 4H-SiC epilayers (abstract)
PRESENTER: Koichi Murata
10:45
Exploring the Ion Implantation Mechanism for Suppressing Stacking Fault Expansion in 4H-SiC: A Fundamental Approach (abstract)
PRESENTER: Takashi Yoda
11:00
Characterization of Deep Levels Introduced by Energy Filtered Ion Implantation with DLTS and MCTS in 4H-SiC (abstract)
11:15
Investigation of Photoluminescence Emission and Characteristic Wavelength of Various Large-Height Shockley-Type Stacking Faults in 4H-SiC Epitaxial Layers (abstract)
PRESENTER: Moonkyong Na
09:45-11:30 Session 14B: Super-Junction MOSFETs
09:45
(Invited) Comprehensive Study on Theoretical Performance Limit of 4H-SiC Full- and Semi-Superjunction Structures (abstract)
PRESENTER: Seigo Mori
10:15
Breaking the performance limits in 4H-SiC semi-superjunction devices through asymmetric pillar engineering (abstract)
PRESENTER: Daisuke Iizasa
10:30
Impact of Void Formation on Semi-Superjunction SiC Schottky Rectifiers for Trench-Filling-Based Fabrication Process (abstract)
PRESENTER: Bailing Zhou
10:45
Body diode performance of the 4H-SiC 3.3 kV Semi-SJ MOSFET (abstract)
PRESENTER: Kyrylo Melnyk
11:00
Anomalous Reverse Recovery of Body Diode in 4H-SiC Superjunction DMOSFET (abstract)
11:15
Insight into Bias-Temperature Instability of SiC MOSFETs using Charge Pumping and Triple-Sense Threshold Measurements (abstract)
PRESENTER: Shane Stein
11:30-13:00Lunch (90min)
13:00-14:15 Session 15A: Color Centers for Quantum Applications
Location: Auditorium
13:00
Solid state defect emitters with no electrical activity in 4H-SiC (abstract)
PRESENTER: Adam Gali
13:15
Parametrization of Emitter Photoluminescence and Color Center Quantification with Neural Networks (abstract)
PRESENTER: Christian Gobert
13:30
Interface NIR SPS: Newly observed single photon sources in SiC (abstract)
PRESENTER: Mitsuaki Kaneko
13:45
Theoretical study of group III–VII impurity-vacancy centers in 4H-SiC as a potential qubit (abstract)
PRESENTER: Sosuke Iwamoto
14:00
Advancing Scalable Quantum Control with V2 center in SiC: From Electrical integration to Nuclear Spin Coherent control (abstract)
PRESENTER: Vadim Vorobyov
13:00-14:15 Session 15B: Applications and Packaging
13:00
(Invited) Lifetime Assurance of Electric Vehicle SiC Power Modules through Thermal Model-Based Heat Management Strategy (abstract)
PRESENTER: Jehwan Lee
13:30
Reliability Challenges of SiC MOSFETs Under Continuous Dual-Bias Stress in EV Security Systems: A Lifetime Prediction Study (abstract)
PRESENTER: Jihong Zhu
13:45
Reliability Analysis and Test Results of SiC Baseless Power Module in bidirectional EV-Charging application (abstract)
PRESENTER: Stefano Carboni
14:00
Room temperature bonding of SiC chip and Cu heat sink substrate (abstract)
PRESENTER: Ryohei Yamauchi
14:15-14:45Break (30min)
14:45-16:15 Session 16A: Extended Defect 2
Location: Auditorium
14:45
(Invited) Evolution of Extended Defects in Ultra-Thick SiC Epitaxial Layers (abstract)
15:15
Adverse effects of proton implantation in 4H-SiC epilayers on stacking fault expansion (abstract)
PRESENTER: Kazumi Takano
15:30
Application of UV photoluminescence spectrum mapping for stacking faults that were expanded from the in-grown stacking fault on a thick 4H-SiC epilayer (abstract)
PRESENTER: Kazumi Takano
15:45
Structural Transformation Within Bar-Shaped Stacking Faults in 4H-SiC Epitaxial Layer and Substrate (abstract)
PRESENTER: Moonkyong Na
16:00
Giant Etch Pit Formation and Origin Formed at the Typical Triangular Stacking Fault Area on 4H-SiC Epitaxial Layer Surface by KOH Etching (abstract)
PRESENTER: Soon-Ku Hong
14:45-16:15 Session 16B: Advanced Processing
14:45
(Invited) Advances in Laser Annealing for Ohmic Contact Formation in Thin 4H-SiC Power Devices (abstract)
PRESENTER: Simone Rascunà
15:15
Carbon Vacancy Engineering on High-Temperature Annealing as a Cost-Effective Approach for Reverse Recovery Suppression in SiC-MOSFETs (abstract)
PRESENTER: Minori Matsuoka
15:30
Reliable and Manufacturable 1200V SiC Planar MOSFET with Leading-Performance Ron,sp 1.95mΩ·cm² (abstract)
PRESENTER: Iram Siddiqui
15:45
Impact of Nitric Oxide Annealing on Interface Degradation in SiC MOS Devices under Positive Bias Temperature Stress (abstract)
PRESENTER: Yu-Chieh Chien
16:00
Critical role of post-deposition annealing on the improvements in SiC MOS structures formed by 2-step H₂/Ar annealing process (abstract)
PRESENTER: Keiji Hachiken
16:15-16:30Break (15min)
16:30-18:30 Session 17: Posters (WED)
Numerical Modeling of Impurities and Defects in 4H-SiC (abstract)
PRESENTER: Xuefeng Han
Epitaxial Growth and Characterization of 4H-SiC layer on C-face and Si-face substrates (abstract)
PRESENTER: Chiara Nania
SmartSiC™ engineered substrate: a robust solution to SiC power devices bipolar degradation (abstract)
PRESENTER: Eric Guiot
Growth of 8 inches SiC single crystal with low BPDs defect (abstract)
PRESENTER: Fusheng Zhang
Growth Simulation and Composition Control of SiC Crystal (abstract)
PRESENTER: Zhenzhou Yuan
Application of a fine grain 3C-SiC powder source material during PVT growth of 4H-SiC crystals (abstract)
PRESENTER: Peter Wellmann
SiC crystal growth simulations using the minimal atomic energy deposition method (abstract)
growth and characterization of “IsoPure” epitaxial layers for quantum applications (abstract)
PRESENTER: Birgit Kallinger
Two-Step Electrochemical Mechanical Polishing of Silicon Carbide : Decoupled Anodic Oxidation and CMP for Enhanced Removal Efficiency (abstract)
PRESENTER: Doyeon Kim
Tailored Interfaces on 4H-SiC via CVD Graphene Growth and Molybdenum Silicide Engineering (abstract)
PRESENTER: Giuseppe Darrigo
Optimization of SiC:V deposition on on-axis SiC substrates for semi-insulating templates for graphene growth. (abstract)
PRESENTER: Marcin Zielinski
Multiscale Modeling of SiC Vapor Phase Transport Growth on Off-Axis 4H-SiC: Influence of Thermal Conditions and Step Dynamics (abstract)
PRESENTER: Dilip Gersappe
Effect of Crucible and Thermal Insulation Porosity on Growth Rate and Nitrogen Incorporation in PVT SiC (abstract)
PRESENTER: Andrey Smirnov
Optimization of seed crystal stability at the initial growth stage depending on heating ramp rates and gas flow channels of SiC source powder for growth of 8-inch n-type 4H-SiC single crystal (abstract)
PRESENTER: Seung-Jun Lee
Modeling SiC crystal growth and crucible etching in the PVT furnace (abstract)
PRESENTER: Zaher Ramadan
The influence of the diameter of the inner guide tube and heating ramp rates on seed crystal at the initial growth of 8-inch n-type 4H-SiC single crystals using PVT growth (abstract)
PRESENTER: Su Ho Kim
Prediction of wafer warpage in 200mm 4H-SiC substrates during subsequent processing by residual stress measurement (abstract)
PRESENTER: Paul Wimmer
Effects of Rotational Stacking Faults in Electronic Structure of 4H-SiC Monolayer under Electric Field Stress: A DFT-Based Molecular Dynamics Study (abstract)
Effect of DLTS parameter on the characterization of deep level defects in 4H-SiC Schottky Barrier Diode (abstract)
PRESENTER: Lan Luo
Micropipes in SiC die Observed by Molten KOH Etching (abstract)
PRESENTER: Fabiana Vento
SiC bulk inspection: digital defect traceability from puck to epi-ready wafers (abstract)
Photoluminescence study on micropipes in SiC substrates for improving comprehensive full-wafer defect detection accuracy (abstract)
PRESENTER: Hirofumi Hoshida
Correlation Study of Physical and Optical Total Thickness Variation in 4H-SiC Substrates (abstract)
PRESENTER: David Lynch
Application of spectroscopic ellipsometry in silicon carbide technology (abstract)
PRESENTER: Zuzana Gelnarova
4H-SiC Power MOSFET Performance Prediction and Defect Monitoring with Mercury Probe Capacitance-Voltage (MCV)/Current-Voltage (MIV) and Model Based Infrared Reflectometry (MBIR) (abstract)
PRESENTER: Benjamin Vigh
A Comprehensive Study of Buffer Layer Thickness and Doping Effects on SiC Defect Density (abstract)
PRESENTER: Firas Faisal
EBSD (Electron Backscatter Diffraction) as a non-destructive method of analysing sub-surface damage of plasma treated CMP SiC surfaces (abstract)
Depth profiling of boron-related minority traps in n-type 4H-SiC by junction DLTS measurements (abstract)
PRESENTER: Orazio Samperi
Impact of Stacking Fault-Induced Carrier Lifetime Reduction on Static and Dynamic Characteristics of 4H-SiC IGBTs (abstract)
PRESENTER: Geon-Hee Lee
Non-destructive identification of pure threading screw and mixed dislocations in SiC epitaxial wafers: Their impact on surface pit formation (abstract)
PRESENTER: Juhyeong Sun
Strain Evolution and Formation of Interfacial Dislocations in Ion-Implanted 4H-SiC Epilayers during Activation Annealing (abstract)
PRESENTER: Xuan Zhang
Dislocation Types Identification in 4H-SiC by Synchrotron Rocking Curve X-Ray Diffraction Imaging (RC-XRDI): A Signature-Based Approach (abstract)
PRESENTER: Arash Estiri
Growth and Characterization of High-Quality Thick Epitaxial 4H-SiC Wafers for High Voltage Devices (abstract)
PRESENTER: Yuzhuo Li
Silicon Carbide Epitaxial Defect and Substrate Defects Analysis by Dynamic Photoluminescence and X-ray Topography (abstract)
PRESENTER: Dong Lee
Demonstration of ALD SiO₂ as gate oxide in the 1.7kV SiC UMOSFET for high-power and embedded CMOS circuit integration (abstract)
PRESENTER: Chia-Lung Hung
Impact of Re-oxidation on Bias-induced Threshold Voltage Instability of SiC Power MOSFETs (abstract)
PRESENTER: Woosung Park
Impact of ALD Oxidants and Deposition Temperature on Electrical Characteristics of Al₂O₃/SiO₂/SiC MOS-Capacitors (abstract)
Analysis of backside metal contact resistance on low-resistivity polycrystalline in 4H-SiC bonded substrates (abstract)
PRESENTER: Motoki Kobayashi
Electrical Performance of 4H-SiC MOSFETs with Different Gate Oxide Processes (abstract)
PRESENTER: Weichen Yu
Effects of Annealing Temperature dependent Electrical Characteristics of AlN/SiC Diodes (abstract)
PRESENTER: Ye-Jin Kim
Development of advanced Ni/4H-SiC contact modules by using laser annealing (abstract)
PRESENTER: Louis Thuries
Damage-free Dicing of SiC Substrate Using High-Pressure SF6 Plasma – Time Dependence of Processed Groove Profile – (abstract)
PRESENTER: Yuken Matsumura
Surface Morphologies and Microstructure of Ni and Ni/Nb ohmic contacts on 4H-SiC (abstract)
PRESENTER: Anh Dung Nguyen
Wide temperature range analysis of ITO/4H-SiC Schottky diodes with applications in UV photodetection (abstract)
PRESENTER: Razvan Pascu
Reduction of Edge Chipping in SiC Wafers Using Contour Edge Grinding (abstract)
PRESENTER: Yunho Shin
Effects of the number of processing passes on laser slicing of SiC (abstract)
PRESENTER: Jianfei Zhang
Fabrication and evaluation of 6 inch SiC-based CMOS inverter in South Korea (abstract)
PRESENTER: Seongjun Kim
BPD-Free Dicing of Epitaxial SiC Wafers Using Water Jet Guided Laser (abstract)
PRESENTER: Shunya Hirano
Low-Defect Ni/Ti Composite Backside Ohmic Contact for thinned 4H-SiC Devices Formed by 355 nm UV Laser Annealing (abstract)
Dopant activation and compensation in p-type 4H-SiC formed by Al⁺ implantation into V-doped semi-insulating substrates (abstract)
PRESENTER: Hiroya Adachi
On the process optimization of ALD-deposition of SiO₂ for SiC MOS processes (abstract)
NiSi alloy/4H-SiC reaction and silicide formation under excimer laser annealing for ohmic contact (abstract)
PRESENTER: Paolo Badalà
First Investigation of N-i-P diodes implemented on SiC P⁺ Substrates (abstract)
PRESENTER: Peter Gammon
Molecular Dynamics Investigation of Radiation-Induced Damage and Mechanical Behavior in Amorphous Si₃N₄ (abstract)
PRESENTER: Ikhwan Shin
Benchmark Study of State-of-The-Art Commercial 1200V SiC MOSFETs for Automotive Applications (abstract)
PRESENTER: Kailun Zhong
Characterization of SiO₂/4H-SiC systems using time-of-flight elastic recoil detection analysis (abstract)
Demonstration of Hybrid-Bonded, Single-Chip 3.3kV 4H-SiC Bidirectional Conventional DMOSFETs at Cryogenic Temperatures (abstract)
THE EFFECT OF CHARGE CONTAMINATION ON THE BV ROBUSTNESS OF SIC POWER DEVICES. (abstract)
Impact of Active Cell Geometry on the Static Performance of 10kV 4H-SiC JBS (Junction Barrier Schottky) Diodes (abstract)
PRESENTER: Hojung Lee
Development of device failure prediction method using multi-modal analysis technique (abstract)
PRESENTER: Junji Senzaki
High temperature operating characteristics of 4H-SiC Active Pixel Sensors (abstract)
PRESENTER: Yusuke Hata
Electrical Characterization and Modeling of IC Gen. 12 4H-SiC JFETs (abstract)
Comparative Study of 1.2kV 4H-SiC Bi-Directional MOSFET (BiD-MOS) Design Approaches: 2-Chip vs Monolithic Integration (abstract)
PRESENTER: Stephen Mancini
Design and Optimization of SiC-based CMOS FinFET for Logic Circuits in High-Temperature Applications (abstract)
PRESENTER: Tae Seong Kwon
Gate leakage imaging of silicon carbide power MOSFETs under negative-bias gate stress (abstract)
PRESENTER: Jang-Kwon Lim
Designing and Simulations of 4H-SiC Neutron Sensors for Boron Neutron Capture Therapy (abstract)
PRESENTER: Vu Thi Ha
Impact of SiC MOSFET Topologies and Gate Runner Design on On-Resistance and Switching Performance (abstract)
PRESENTER: Minseok Kang
An Ultralow Forward Voltage SiC Lateral Pinched Barrier Rectifier (LPBR) (abstract)
PRESENTER: Fu-Jen Hsu
Fabrication of a 1200V SiC Trench MOSFET with an Inverted T-shaped Deep P Junction Based on an 8-inch Platform (abstract)
PRESENTER: Lei Zhu
Enhancing the Short-Circuit Capability of Embedded-SBD 4H-SiC MOSFETs through Ni Silicide Formation (abstract)
PRESENTER: Junseong Kim
Properties of SiC Nanostructures Grown by Mixed-Source Hydride Vapor-Phase Epitaxy Method (abstract)
PRESENTER: Myungjun Kim
Growth of 2H–Si microneedle by Plateau-Rayleigh Instability (PRI) Using Al Nanowires (abstract)
PRESENTER: Sohee Kim
Optimization of Source-connected Field Plate in AlGaN/GaN HEMTs towards high-power and high-frequency Operations: A Simulation Study (abstract)
PRESENTER: Tae-Sung Kim
A Simulation Study of Electronic Device Designs for the Control of Silicon Vacancies in 4H-SiC as Spin Qubits (abstract)
Towards realization of hybrid spin networks in solid-state system (abstract)
Ohmic p-GaN Gate HEMTs with Al₂O₃/SiO₂ T-gate Dual Insulator Layers (abstract)
PRESENTER: Gokhan Atmaca
Towards a Fully Integrated 4H-SiC a-Plane Quantum-Chip – Transistors and Light Emitters (abstract)
Fabrication of ultrathin freestanding 4H-SiC layers by doping-dependent monolithic electrochemical etching (abstract)
Investigation on Surface Step Arrays and Epitaxial Growth of β-Ga₂O₃ on Miscut (0001) Sapphire Substrates Prepared by Molecular-Beam Epitaxy (abstract)
PRESENTER: Raouf Hayyak
Atomic-Scale Analysis of Twin Defects in β-Ga₂O₃ Single Crystals Grown by the EFG Method (abstract)
PRESENTER: Mee-Hi Choi
Diamond Growth on 4H-SiC Substrates: Influence of Surface Roughening and Polarity (abstract)
PRESENTER: Ki-Yeol Woo
Heteroepitaxial Diamond Growth on ALD-Al₂O₃/4H-SiC via MPCVD (abstract)
PRESENTER: Tae-Yong Park
Novel Strategy for Deterministic Implantation by Ultra-Thin Silicon Carbide Membrane Detector (abstract)
A Comparative Investigation of NiO/Ga₂O₃ PN Diodes: Effects of Rapid Thermal Annealing on Electrical and Defect Behavior (abstract)
PRESENTER: Seung-Hyun Park
Influence of doping on heavy-ion induced color centers in 4H-SiC (abstract)
Characterization of color centers at SiO₂/SiC interfaces: Energy level identification and discussion of their origins (abstract)
PRESENTER: Kentaro Onishi
Transient junction temperature measurement error of SiC MOSFETs in power cycling – Influence of cryogenic temperatures (abstract)
Understanding the Influence of the Different Parameters on the Dynamic VSD Behaviour in SiC MOSFETs during Power Cycling Test (abstract)
Resonance Damping in 1200V Power Modules Using Planar SiC MOSFET Devices for 200 kW Output (abstract)
Comparison of Static and Dynamic Characteristics of SiC MOSFETs with Respect to TO-247 and Hermetic Package Types (abstract)
PRESENTER: Yeonju Lee
Dynamic HV-H³TRB Test on 3.3 kV SiC MOSFET Modules (abstract)
Power Cycle Failure Modes of 10 kV SiC-MOSFET Power Modules with Different Wire Bond Layouts (abstract)
PRESENTER: Masaki Takahashi
Degradation Mechanisms of 1200 V 4H-SiC Planar Power MOSFET under Negative HTGB Stress (abstract)
PRESENTER: Tsai-Pei Lu
Accelerated Bipolar Degradation Robustness (aBDR) Evaluation in SiC MOSFETs (abstract)
PRESENTER: Davood Momeni
Discrepancy of Datasheet Measurements in SiC MOSFETs in Bare Dies and TO-247 Packaged Discrete Devices (abstract)
PRESENTER: Saeed Jahdi
Thursday, September 18th

View this program: with abstractssession overviewtalk overview

08:30-09:15 Session 18: Plenary Lecture 4
Location: Auditorium
08:30
Exploring the Versatility of SiC: Emerging Applications beyond Power Electronics (abstract)
09:15-09:45Break (30min)
09:45-11:30 Session 19A: Epitaxial Growth 1
Location: Auditorium
09:45
(Invited) A Toolbox For Trench Filling Epitaxy for SiC Superjunctions (abstract)
PRESENTER: Vishal Ajit Shah
10:15
Influence of HCl on doping uniformity of 4H-SiC SJ structure (abstract)
PRESENTER: Shiyang Ji
10:30
Buffer Layer Structural Engineering for Surface Pit Suppression in 4H-SiC Epitaxy (abstract)
PRESENTER: Shuangyuan Pan
10:45
Improving 3C-SiC Quality Through Wafer Bonded Switchback Epitaxy (abstract)
PRESENTER: Gerard Colston
11:00
Enabling SiC Photonic Platforms with Smart Cut™: Material Quality and Process Optimization of SiCOI Substrates (abstract)
PRESENTER: Stéphanie Huet
11:15
Low defectivity epilayers grown on SmartSiC™ engineered substrates (abstract)
PRESENTER: Marcin Zielinski
09:45-11:30 Session 19B: Impact of Point Defects
09:45
(Invited) The Role of Point Defects in Performance and Reliability: From Material and Device to Application (abstract)
10:15
Detection of energetically close deep levels in electron-irradiated 4H-SiC by capacitance transient analysis based on Bayesian inference (abstract)
PRESENTER: Kotaro Yamanaka
10:30
Time-resolved Electron Beam Induced Current (TR-EBIC): A high potential method to map minority charge carrier lifetime in SiC (abstract)
10:45
Towards a complete mapping of electron and hole traps in the entire 4H-SiC band gap (abstract)
PRESENTER: Rishi Kupper
11:00
Defect formation by irradiation with thermal and fast neutrons in SiC (abstract)
11:15
Evaluation of Auger recombination coefficient in highly N-doped 4H-SiC under high-level injection conditions (abstract)
PRESENTER: Endong Zhang
11:30-13:00Lunch (90min)
13:00-14:45 Session 20A: Fundamental Material Properties
Location: Auditorium
13:00
DC and RF local electrical properties of macrostepped 4H-SiC surface probed by AFM-SSRM and AFM-sMIM modes (abstract)
13:15
Study on the Particle Removal Mechanism on 4H-SiC Surface by Comparison with Si Surface (abstract)
PRESENTER: Yoon-Ji Ra
13:30
Exploiting the kinetic selectivity of charge pumping in electrically detected magnetic resonance of 4H-SiC MOSFETs (abstract)
PRESENTER: Ilias Vandevenne
13:45
Enhanced detection of implanted noble gases and hydrogen in silicon carbide by surface and vacuum engineering in SIMS (abstract)
14:00
Characterization of the electric field in silicon carbide detectors by Optical Beam Induced Current (abstract)
PRESENTER: Saverio De Luca
14:15
Temperature dependence of high-field electron and hole drift velocities in 4H-SiC (abstract)
PRESENTER: Daichi Fujioka
14:30
Generation of Stacking Faults in SiC Epitaxial Layers from BPDs Not Parallel to Step-flow Direction (abstract)
PRESENTER: Alecsander Imhof
13:00-14:45 Session 20B: Device Concepts and Characterization
13:00
(Invited) SiC JFETs: Pushing Performance Boundaries or Re-inventing the Wheel? (abstract)
13:30
Modeling of forward current conduction in 4H-SiC PiN diodes (abstract)
PRESENTER: Satoshi Asada
13:45
Self-Heating Calibration in SiC Power Diodes (abstract)
PRESENTER: Kévin Hollmann
14:00
ELECTRO-MECHANICAL STRESS RELIEF MODELING OF DIFFERENT PASSIVATION STACK SCHEMES FOR IMPROVED ROBUSTNESS OF SIC POWER DEVICES. (abstract)
14:15
SiC Power MOSFET Design for Mitigation of Oscillations in Multi-Chip Power Modules (abstract)
14:30
Design optimization of 600V 4H-SiC Lateral Bi-directional MOSFET (L-BiD-MOSFET) with 3D TCAD simulation (abstract)
PRESENTER: Seung Yup Jang
14:45-15:00Break (15min)
15:00-17:00 Session 21: Posters (THU)
Growth and analysis of low resistivity polycrystalline silicon carbide using physical vapor transport (abstract)
PRESENTER: Anqi Wang
Carbide coated graphite with enhanced mechanical and chemical properties for SiC crystal growth (abstract)
Successful Development of 12 inch SiC crystal by PVT method (abstract)
PRESENTER: Rusheng Wei
Influence of the oval-shaped dark facet on minority carrier lifetime in 4H-SiC homoepitaxial layers (abstract)
PRESENTER: Qun Tan
8-12 Inch Silicon Carbide Wafer Used for AR Glasses (abstract)
PRESENTER: Guangming Wang
Behaviors of basal plane dislocations in 4H-SiC epilayer grown on the facet trace region of substrate (abstract)
PRESENTER: Wataru Tochizaki
In-situ Interferometric Observation of Spiral Growth on 4H-SiC Growth and Attempt of Growth Kinetic and Step Property Analyses (abstract)
PRESENTER: Kosei Fukasaku
Interferometric Observation and Growth Kinetics Analysis of SiC Solution Growth Using a Gibbs-Thomson Solvent (abstract)
PRESENTER: Aoto Tanaka
Distribution of the electrical resistivity of a n-type 4H-SiC crystal (abstract)
PRESENTER: Xinyu Xie
CMOS-Compatible Pore Nucleation on 4H-SiC Si-Face via Reactive Ion Etching for Homogeneous Electrochemical Etching (abstract)
Optimizing SiC Epitaxy: Innovative approaches for buffer layer growth (abstract)
PRESENTER: Cristian Messina
Solution Growth Technique of Silicon Carbide with In Situ Observation (abstract)
PRESENTER: Yoshihisa Abe
Effect of Various mm-sized Source Granules on SiC Single Crystal Growth via the PVT Method (abstract)
PRESENTER: Ha-Jun Kim
6“ P-type and Ultra-Low Resistivity SiC Crystals Grown by PVT (abstract)
PRESENTER: Douglas Dukes
A Study on the Synthesis and Evaluation of Si/SiC Powders for SiC Wafers Fabrication and Si-based Devices (abstract)
PRESENTER: Myung-Beom Park
Quantitative Simulation of Bipolar Degradation in 4H-SiC Using UV Pulsed Laser: Considerations on the Critical Duration of Threshold Minority Carrier Density (abstract)
Depth-Dependent Suppression of Bipolar Degradation in 4H-SiC Diodes via Proton Implantation and Evaluation of Safe Operating Current Density Range (abstract)
PRESENTER: Atsushi Shimbori
Metrology and Visualization of Silicon Carbide Surfaces via Interferometric 3D Optical Profilometry and Scanning Spreading Resistance Microscopy (abstract)
PRESENTER: Wooseop Lee
Suppression of Bipolar Degradation in SiC PiN Diodes Using Substrates Fabricated by Novel Crystal Growth Method Based on Batch Processing (abstract)
PRESENTER: Haruko Inayoshi
Lifetime mapping and decay curve analysis around defects on 4H-SiC epitaxial wafer using µ-PCD measurement (abstract)
Process-dependent photoluminescence behavior evolution of stacking faults in 4H-SiC (abstract)
PRESENTER: Nadja Kölbel
Comparison of the irradiation temperature effect of on the carrier removal rates in GaN and SiC. (abstract)
The Evolution of Threading Screw Dislocations Defects in SiC crystals Grown by Physical Vapor Transport (abstract)
PRESENTER: Yan Zhang
Observation of Depletion Layer in SiC Diodes Using a Simple EBIC Holder (abstract)
PRESENTER: Shunsuke Asahina
Impact of Predefined Defects on Device Reliability (abstract)
PRESENTER: Giuseppe Darrigo
High Quality P-type 4H-SiC Growth by PVT Method (abstract)
PRESENTER: Shanshan Hu
Deep-Level Defect Effects on the performance of 4H-SiC Superjunction MOSFETs (abstract)
PRESENTER: Se-Rim Park
The elusive Bulk Inclusion, sizing, wafer- and ingot-level localization and their effect on dislocation generation and epitaxial defectivity in 4H-SiC (abstract)
PRESENTER: Jimmy Thörnberg
Automatic identification of the Burgers vector and line vector of dislocations in 4H-SiC wafer with birefringence simulation (abstract)
PRESENTER: Kousei Takahashi
Rapid Wafer-Scale Evaluation of Defects in SiC Using Deep Learning (abstract)
PRESENTER: James Gallagher
Separating Residual Subsurface Damage and Bulk Crystal Quality in SiC Wafers by Laser Light Scattered Intensity Mapping (abstract)
PRESENTER: Tadaaki Kaneko
Evaluating Bulk and Processing Quality in SiC Wafers via Laser Light Scattering Mapping (abstract)
PRESENTER: Mariko Takahara
An Ab Initio Approach for Insight into the Shockley- and Frank-Type Stacking Faults in 4H-SiC (abstract)
PRESENTER: Taswar Iqbal
Structural Investigation of Carrot Defect with Two Surface Grooves and 3C-SiC Polymorph in 4H-SiC Epitaxial Layer (abstract)
PRESENTER: Moonkyong Na
Feasibility Study of SiC Wafer Reutilization Process through Laser Splitting and Bonding Techniques (abstract)
PRESENTER: Takanori Tanaka
Method to get different silicon carbide trenches profile using plasma etching for high performance SiC MOSFET (abstract)
PRESENTER: Jinghe Yang
Examination of Channeling Ion Implantation in 4H-SiC Wafers by Photo-Modulated Reflectance Technique (abstract)
PRESENTER: Dénes Ullrich
Effect of scanning speed and laser power on the surface roughness of 8-inch laser-slicing SiC wafers (abstract)
PRESENTER: Linlin Che
A Study on Simplifying the Process by Using Multiple Epitaxy and Implantation Method to fabricate SiC Super Junction (abstract)
PRESENTER: Han-Wei Chen
Fluence-Dependent Interfacial Reactions in UV Laser Annealed NiAl/4H-SiC Contacts: Structural Expansion and Ohmic Performance (abstract)
PRESENTER: Youngjae Park
The effect of the vertical ultrasonic vibration on the blade dicing of the SiC wafer (abstract)
PRESENTER: Youngkwan Kim
Slurry-less Electrochemical Mechanical Polishing Characteristics of 4H-SiC in Weak Alkaline Electrolyte (abstract)
PRESENTER: Aoi Kaneko
Thermal oxidation of 4H-SiC (0001) in O2 and N2O: kinetics, interface electrical properties and induced strain (abstract)
Electrical and Optical characterization on H⁺ doped 4H-SiC Schottky diodes (abstract)
Mechanism of Ni-based Silicide layer formation on 4H-SiC substrates via laser annealing (abstract)
PRESENTER: Gyunseo Kim
Comparative Study on Grinding Behavior of C-face and Si-face in Laser-Sliced 4H-SiC Wafers (abstract)
PRESENTER: Bixue Li
Investigation of silicon nitride based high-κ dielectrics for SiC power MOSFETs (abstract)
PRESENTER: Sami Bolat
Atom Probe Tomography for Highly Implanted Phosphorus Ion in Silicon Carbide (abstract)
PRESENTER: Akio Kanayama
Avalanche Stress Study of 4H-SiC Power Devices: Impact of Voltage Rating and Substrate for JBS and PiN Diodes (abstract)
PRESENTER: Cyrille Le Royer
Trench Superjunction (SJ) Platform Technology for SiC Power Devices (abstract)
First Demonstration of LOCal Oxidation of SiC (LOCOSiC) isolation in 4H-SiC Power Devices - Using a 650 V JBS Diode as an Example (abstract)
PRESENTER: Po-Han Wang
Unveiling the Role of Crystallographic Defects in SiC Device Reliability Using Emission Microscopy and Etching-Based Structural Analysis (abstract)
2x Current Boosting Scheme in 3300 V 4H-SiC VDMOSFET (abstract)
PRESENTER: Yuniarto Widjaja
Study on the Current Hump in SiC MOSFETs Induced by False Turn‑on of the High‑Side Body Diode (abstract)
PRESENTER: Taehyun Jang
Modeling of the Physical Properties of 3C-SiC/4H-SiC Heterostructures for TCAD Simulation (abstract)
Impact of cell design on switching performance of 1.7kVSiC VDMOSFET (abstract)
PRESENTER: Shih Chiang Shen
Calculation of the whole interface state density profile in SiO₂/SiC lateral MOSFETs (abstract)
PRESENTER: Marco Zignale
Characteristics of High Current Density 4H-SiC Barrier Schottky Diodes (abstract)
PRESENTER: Lan Luo
Challenges in Measuring Thin SiO₂ Layers on 4H-SiC via Spectroscopic Ellipsometry (abstract)
PRESENTER: László Makai
4H-SiC CMOS 2-bit Decoder Circuits for Harsh Environment Applications (abstract)
PRESENTER: Shunto Higashi
Suppression of short channel effects by LDD structure in 4H-SiC n-channel MOSFETs (abstract)
PRESENTER: Kota Shimizu
Optical Critical Dimension Metrology for the SiC Trench MOSFET Process (abstract)
PRESENTER: Emeric Balogh
'Ladder' design for improved static electrical characteristics for 1.2kV 4H-SiC MOSFET with deep P-well (abstract)
PRESENTER: Skylar Deboer
Study of Single-Event-Burnout for Refilled-PMOS SiC Trench MOSFET (abstract)
PRESENTER: Haizhao Zhi
A study on different SiC MOSFET Edge Termination Ruggedness (abstract)
Short-Circuit Reliability Analysis of SG-MOSFETs Versus Planar 4H-SiC MOSFETs (abstract)
PRESENTER: Pei-Chun Liao
An Improved Analytical Model for SiC P-i-N Diode Reverse Recovery (abstract)
Temperature-Dependent TLM-Based Resistance Modeling for 4H-SiC CMOS (abstract)
PRESENTER: Hui Wang
Silicon Carbide Radiation Sensor under High Temperature and Defects Analysis with ODMR (abstract)
PRESENTER: Lei Cao
Pulsed optically detected magnetic resonance of silicon vacancies in SiC (abstract)
PRESENTER: Yuichi Yamazaki
4H-SiC Tunneling Light Emitter as a Light-Source for Monolithically Integrated Off-Resonant Excitation of Silicon Vacancies (abstract)
Demonstration of the first full-wave rectifier circuit on β-Ga₂O₃ diodes (abstract)
PRESENTER: Sujin Kim
Two-Step Growth of κ-Ga₂O₃ Thin Films on 4H-SiC Substrate Using Mist CVD with Temperature-Varied Buffer Layers (abstract)
PRESENTER: Siyoung Bae
Simulation Framework for Quantum Control Protocols in Spin-3/2 Silicon Vacancies of 4H-SiC (abstract)
PRESENTER: Jun Jae Choi
Oxidation effects on optical properties of Si and SiC photonic crystal nanocavities (abstract)
PRESENTER: Heungjoon Kim
Fabrication of CMOS-Compatible 4H-SiC UV-C Photodiodes Using Lateral Structures and Junction Engineering (abstract)
PRESENTER: Sung-Woong Han
Low-damage laser slicing technology for bulk GaN (abstract)
PRESENTER: Xing Zhang
Highly Stable Thin Film Transistors Based on Exfoliated β-Ga₂O₃ via RTP Annealing (abstract)
PRESENTER: Jiheon Ha
A SiC-based Desktop Quantum Computer (abstract)
PRESENTER: Matthias Widmann
Fabrication, Characterization, and Performance Assessment of GaN PiN Betavoltaic Cells (abstract)
PRESENTER: Jaewon Park
Towards High-Fidelity Quantum Gates for the V2 Defect in 4H-SiC (abstract)
PRESENTER: Yihong Hu
Modeling the Nucleation and Growth Kinetics of Heteroepitaxial Diamond on ALD-Al₂O₃/SiC via MPCVD (abstract)
PRESENTER: Nhat-Minh Phung
Excellent Temperature Stability of Al₀.₈₅Ga₀.₁₅N/Al₀.₅Ga₀.₅N HEMT (abstract)
PRESENTER: Do-Hyeong Yeo
A study of optical emission spectroscopy (OES) for high selective-etching in E-mode HEMT process (abstract)
PRESENTER: Kyeong-Keun Choi
Effect of Dynamic Reverse Bias on the Blocking Capability of SiC MOSFETs under Development (abstract)
PRESENTER: Jiale Wu
Temperature Dependence of the AC-BTI in SiC MOSFETs (abstract)
PRESENTER: Kohei Takei
Accumulated Threshold Voltage Shift Induced by Surge Current in Planar SiC MOSFETs After AC Gate Switching Stress (abstract)
PRESENTER: Ke Wei
Gate Oxide Stability and Degradation Modes of Next Generation SiC MOSFETs (abstract)
High-Bandwidth Measurement of Laser-Induced Transient Responses in SiC Devices for Understanding Single Event Burnout Phenomena (abstract)
PRESENTER: Takahiro Makino
Modelling Leakage Current Variations Based on Threshold Voltage Shift in SiC MOSFET Under Positive Gate Stress (abstract)
PRESENTER: Giorgio Zappalà
Reducing metal delamination in SiC devices by carbon removal (abstract)
PRESENTER: Knut Gottfried
Design Space Exploration of SiC Power Module Package via Surrogate Model (abstract)
PRESENTER: Lu Wan
Method for Improving Instability of Forward Voltage during Power Cycling Test about SiC Power Module (abstract)
PRESENTER: Jangmuk Lim
Modeling and Experimental Evaluation Comparing Normal to c-plane Stress-Strain of Two-Step ICP Etched Microstructures. (abstract)
PRESENTER: David Spry
17:00-17:30Break (30min)
Friday, September 19th

View this program: with abstractssession overviewtalk overview

08:30-10:00 Session 22A: Epitaxial Growth 2
08:30
Analysis of Burgers vectors of basal plane dislocations in 4H-SiC wafer with thick epitaxial layers (abstract)
PRESENTER: Fumihiro Fujie
08:45
Thick epitaxial growth for detectors application (abstract)
PRESENTER: Francesco La Via
09:00
Implementation of Growth Interrupt into Commercial Growth Process to Realize Low BPD Epilayers for High Power Devices (abstract)
09:15
Remote Epitaxy of SiC: Feasibility, Challenges, and Pathways (abstract)
PRESENTER: Misagh Ghezellou
09:30
Epitaxial growth of 3C-SiC and 4H-SiC by travelling solvent method under isothermal conditions (abstract)
PRESENTER: Boris Contri
08:30-10:00 Session 22B: Interface Characterization
08:30
(Invited) Why SiO₂/SiC interface traps cannot be passivated efficiently? (abstract)
09:00
Extremely uniform surface potential near the valence band edge at nitrided 4H-SiC/SiO₂ interface (abstract)
PRESENTER: Kyota Mikami
09:15
Physical Origin of Crystal Face-Dependent Electron Mobility in 4H-SiC (0001) and (11-20) MOSFETs (abstract)
PRESENTER: Xilun Chi
09:30
A charge pumping study on interface trap creation in SiC trench MOSFETs during gate switching instability (abstract)
PRESENTER: Marina Avramenko
09:45
The impact of NO annealing on the p-type SiC/SiO₂ interface: A LE- μSR study (abstract)
10:00-10:30Break (30min)
10:30-12:00 Session 23A: Interface Processing
10:30
Preliminary Study into Ferroelectric Properties of HfO₂/SiO₂ SiC MOS Capacitors for Improved Short Circuit Capability (abstract)
PRESENTER: Xinkai Tian
10:45
Impacts of plasma nitridation on SiC surface (abstract)
PRESENTER: Daiki Miura
11:00
Enhanced mobility in SiC (0001) MOSFETs using a decoupled plasma nitridation (DPN) process and oxide deposition (abstract)
PRESENTER: Koushik Ramadoss
11:15
High-Temperature H₂- and N₂- containing Surface Conditioning for SiO₂/4H-SiC Interface Optimization (abstract)
PRESENTER: Johannes Ziegler
11:30
Enhancing SiO₂/4H-SiC Interface Quality via In-Situ Plasma Pretreatment and Post-Deposition Annealing for Improved MOS Device Performance (abstract)
11:45
Carbon-related defect formation during high temperature Ar annealing in 4H-SiC enhanced by prior thermal oxidation and suppressed by H₂ annealing (abstract)
PRESENTER: Chuyang Lyu
10:30-12:00 Session 23B: Gate Reliability
10:30
(Invited) SiO₂ as the Gate Dielectric for SiC MOSFETs: An Unabridged Story (abstract)
11:00
Enhanced Gate Oxide Reliability in Vertical SiC Power MOSFETs via Optimized Screening (abstract)
PRESENTER: Ayan Biswas
11:15
Mechanism of Threshold Voltage Drift in SiC MOSFETs under Bipolar AC Gate Stress via Photon-Assisted Electron Injection (abstract)
PRESENTER: Ryosuke Shingo
11:30
High-Voltage Reliability Study for 3.3kV High-K SiC Planar MOSFETs (abstract)
11:45
Peak Voltage and Switching Slope Dependency of Gate Switching Instability in SiC MOSFET (abstract)
PRESENTER: Ruben Schnitzler
12:00-13:15Lunch (75min)
13:15-15:00 Session 24A: Bulk Growth 3
13:15
(Invited) Stabilizing the Growth Interface in Solution Growth : Application of SiC-Saturated Conditions and Convection Control (abstract)
PRESENTER: Takeshi Mitani
13:45
Development of High-quality 6-inch P-type 4H-SiC Substrates Using Solution Growth (abstract)
PRESENTER: Yifan Dang
14:00
Reduction of Basal Plane Dislocations in 8-inch SiC Substrates Using Novel Crystal Growth Method Based on Batch Processing (abstract)
PRESENTER: Hiroshi Fukui
14:15
Insights from 3D Modeling of SiC Solution Growth: Realization of Unidirectional Solution Flow and High Growth Rate by Asymmetric Hot-zone Designs (abstract)
PRESENTER: Xin Liu
14:30
(Invited) The Cutting Edge and Future of Lab-Grown Diamonds (abstract)
13:15-15:00 Session 24B: Quantum Sensing and Quantum Control
13:15
(Invited) Mitigating electrical and magnetic noise for SiC qubits and photonics (abstract)
13:45
Detection and characterization of divacancy-related defects in 4H-SiC by coherent photoelectrical spin readout at room temperature (abstract)
PRESENTER: Naoya Morioka
14:00
Theory of optical spin-polarization and related ODMR contrast optimization strategy for an axial divacancy center in 4H-SiC (abstract)
PRESENTER: Guodong Bian
14:15
PL6 centers in 4H-SiC for spin-based quantum sensing (abstract)
PRESENTER: Raphael Woernle
14:30
All-electrical quantum magnetometry based on commercially off-the-shelf SiC devices (abstract)
PRESENTER: Connor Fieweger
14:45
High-resolution nanoscale AC quantum sensing in SiC (abstract)
PRESENTER: Paul Fisher
15:00-15:15Break (15min)