ITC 2025: IEEE INTERNATIONAL TEST CONFERENCE
TALK KEYWORD INDEX

This page contains an index consisting of author-provided keywords.

5
5G Testing Enigneering
A
ABIST
ADC
advance processes
advanced packaging
aging
AI Agent
AI Hardware
analog test generation
Anomaly Detection
Anomaly Diagnosis
Anti-Tamper
architecturally correct execution
ATE
ATPG
Automated Test Equipment
Automated Test Equipment (ATE)
Automated Test Pattern Generation (ATPG)
Automatic Test Equipment (ATE)
Automatic test pattern generation (ATPG)
automotive electronics
B
BIST
Bit line sense amplifier
built-in self-test (BIST)
C
Calibration
cell aware
cell-aware
cell-aware ATPG
Central Processing Unit
chain diagnosis
Chiplet interconnects
Chiplets
CIM
clock tree defect
Compute-in-Memory
Confidentiality
Contactless flange rectangular waveguide
Continuous Monitoring
Controllability
Core characteristic
Core-V eXtension Interface (CV-X-IF)
CP Testing
Critical faults
Critical Thickness Model
Cryptographic Accelerator
CVA6
D
DAC
data converters
Deep Neural Network
Defect activation
Defect characterization
defect modeling
defect-finding
defect-oriented stress
defects
Delay fault testing
Delay Test
Delay Testing
Deobfuscation
design for diagnosis
Design for Test
Design-for-Test
Design-for-Testability (DFT)
Device Under Test
device-aware test
DFM and Test Diagnosis
DFT
diagnosability
Diagnose Program
Diagnosis
diagnosis control points
diagnosis coverage
diagnosis observe points
diagnosis simulation
diagnostic fault simulation
dithering
DPPM
DRAM
Drift
E
Elmore Delay
Embedded deterministic test
F
failure analysis
Fan-out wafer-level packaging
fault collapsing
Fault Coverage
fault diagnosis
fault dominance
fault equivalence
Fault Injection
fault mode
Fault Modeling
fault models
Fault Propagation
Fault Simulation
Fault-tolerance
FeFET
FT Testing
functional sequences
Functional Testing
functionally possible faults
G
Glitter PUF
global control signal diagnosis
H
hardware cost
Hardware Security
Hardware Telemetry
Hardware Trojan
HB-IJTAG
Hierarchical Test
Hw/SW co-design
Hypergraph Theoretic Weighting
I
IEEE 1687
IEEE 1687 (IJTAG)
IEEE 1838
IJTAG
Impedance Match
In Memory Computation
In-Field Testing
In-System Test
inter-cell bridge
Interactive Test
interconnect
intermittent fault
K
k-Way Partitioning
L
LA-DOS
LangGraph
language
Large Language Model
latch array
Latent defects
Latent gate oxide shorts
layout-aware
LBIST
LDO
LLM
LLMs
Load Board
Load Board (L/B)
logic diagnosis
Low Power
low-cost
M
Machine Learning
Manufacturing Test Setup
MBIST
Measurement Variation
Memory Repair
Memory test
Microwave
Millimeter-wave
Mismatch
Mixed-Signal
Monte Carlo
Monte Carlo Sampling
multi-chiplet designs
N
Non-Volatile Memory
NVM
O
Observability
Offset cancelation
Open defects
Optics
optimization
P
P1687.2
Passive PUF
PDL2
Phantom
Physical Failure Analysis
Physical Unclonable Function
PICS
Power Side-channel Attack
PPM
Pre-Silicon/Post-Silicon
Probabilistic Estimation
Probe Card
Probe Card (P/C)
Process Capability
Process Variation
Pseudo-Boolean Satisfiability
PSS
push-on mating mm-wave interface
PyTorch Models
Q
Quantitative vulnerability
R
Register Transfer Level
Reliability
reliability analysis
ReLU
repair
Repair prioritization
Resistive fault dominance
Reverse Engineering
RF Network
Ring Oscillator
RISC-V
RISC-V E-Trace
RRAM
RTL-Level PSC Analysis
S
S-parameter
Satisfiability Modulo Theories
scan
scan chain diagnosis
scan diagnosis
Scan Instrumentation
Scan Switching
scan test
Scan-based test
Semiconductor
Semiconductor Performance Modeling
Shift-Left
Silent Data Corruption
Silent Data Corruption in AI devices
Silent data error
Silent Data Errors
Silicon Debug
Silicon Lifecycle Management
Silicon Odometer Sensing
Silicon Photonic
Silicon Photonics
silicon practice
Simulated Annealing
small delay
small delay defect
small-delay defects
small-delay-defect
SOC Test
software-hardware co-design
speed-up
SSN
Stability
State Element Identification
Static Random-Access Memory (SRAM)
Streaming Fabric
Streaming Scan Network
Stress coverage
Stress time
stuck-at fault (SAF)
stuck-at faults
System-on-Chip (SOC)
system-on-wafer
T
Technoprobe
temperature
Test
Test Benchmark
Test Bus
Test compression setup
test coverage
Test Data Analytics
Test data compression
Test Inerface
Test metrics
Test pattern generation
Test Pin
Test Point Insertion
Test Points
Test Time Reduction
Testability
Testmethod
timing analysis
Timing-aware
timing-based
timing-critical
toggle coverage
TPI
Transfer Learning
Transfer Matrix Method
Transient Fault
transition delay fault (TDF)
transition delay faults
Transition Fault
U
UDFM
Unconstrained Path
unique fail bit
V
Vcell method
Vector Network Analyzer (VNA)
VLSI
VLV
Vmin
Voltage stress
W
wafer-level integration
waveform generation
Waveguide to microstrip-line transition
Y
Yield Analysis and Optimization
yield enhancement
Z
Zero Defect Quality
zero defects