ITC2020: INTERNATIONAL TEST CONFERENCE 2020
PROGRAM FOR TUESDAY, NOVEMBER 3RD
Days:
next day
all days

View: session overviewtalk overview

10:00-11:00 Session Plenary1: Opening Session

ITC 2020 Opening (30 minutes)

1. General Chair

2. Program Chair

3. TTTC President

--------------

Keynote (30 minutes)

Title: Applying Digital Transformation Technologies to Semiconductor Product Development

At first glance, you might think that digital transformation applies only to IT organizations, e-commerce systems, or your personal strategy to store family photos in the cloud.  However, many of the same technologies that improve our consumer experiences are are fundamentally shaping how semiconductor organizations design, test, and manufacture semiconductor products.  In this presentation, we’ll share some of the latest trends and technologies that best-in-class semiconductor companies are using to accelerate and improve product designs.  More specifically, we’ll share practical examples of how companies are utilizing technologies ranging from the remote automation to the cloud to artificial intelligence to transform modern engineering labs and enterprises.

Speaker: Ritu Favre, Senior Vice President and General Manager of Semiconductor Business

As senior vice president and general manager of the semiconductor business, Ritu Favre is responsible for driving business growth and defining the products, services, and capabilities required to meet the unique needs of NI customers in the market.

Favre is a seasoned high-tech industry leader with experience across general management and executive leadership roles in the RF and semiconductor industries. Most recently, she served as the chief executive officer of NEXT Biometrics and was on the Cohu Board of Directors. Prior to her role at NEXT, she helped build profitable businesses while holding senior management positions with market leaders such as Motorola, Freescale Semiconductor, and Synaptics.

Favre is a member of the Global Semiconductor Association’s Women’s Leadership Council, which is aimed at inspiring and sponsoring the next generation of female leaders in the semiconductor industry. She received both her bachelor’s and master’s degrees in electrical engineering from Arizona State University.

11:00-11:30Social/Exhibit Hall
11:30-12:30 Session 1A: Learning for Failure Analysis and Prediction
11:30
LAIDAR: Learning for Accuracy and Ideal Diagnostic Resolution
PRESENTER: Qicheng Huang

ABSTRACT. A new machine learning based diagnosis method is proposed for improving both accuracy and resolution. Semi-supervised learning is deployed to use unlabeled data to augment model training. Also, a defect-level learning procedure uses characteristics from similar defects to further improve resolution. Experiments involving virtual and silicon datasets demonstrate significant improvements.

11:50
Unsupervised Root-Cause Analysis for Integrated Systems
PRESENTER: Renjian Pan

ABSTRACT. We propose a two-stage unsupervised root-cause analysis method. In Stage-I, a decision-tree model is trained to roughly cluster the data. In Stage-II, frequent-pattern mining is applied to precisely clustering so that each cluster represents only a few root causes. Industry cases demonstrate that the proposed approach outperforms the state-of-the-art method.

12:10
Unleashing the Power of Anomaly Data for Soft Failure Predictive Analytics
PRESENTER: Fei Su

ABSTRACT. This paper presents a predictive analytics methodology using a continuing stream of anomaly data to tackle soft failure testing challenges, within a proposed silicon health prognosis framework. Statistical machine learning techniques are applied to infer failure evolution and the interference effects. Failure prediction results can be used for safety mitigation.

11:30-12:30 Session 1B: Novel Test Pattern Generation
11:30
qATG: Automatic Test Generation for Quantum Circuits
PRESENTER: Cheng-Yun Hsieh

ABSTRACT. We propose a novel test generation for quantum circuits with low test cost. We use gradient descent to generate test patterns and chi-square test to make decision. Experimental results on IBM-Q system show that test costs of our proposed technique are orders of magnitude smaller than traditional random benchmark test.

11:50
Functional Test Sequences for inducing Voltage Droops in a Multi-Threaded Processor

ABSTRACT. We develop voltage-droop inducing functional test sequences targeting a wide range of power distribution network frequencies. We generate high and low power sequences that create symmetric and asymmetric sequences with hardware thread synchronization, pipeline delays and high power. We describe simulation and silicon results on a multi-threaded Qualcomm® Hexagon™ Processor.

12:10
SAT-ATPG Generated Multi-Pattern Scan Tests for Cell Internal Defects: Coverage Analysis for Resistive Opens and Shorts
PRESENTER: Sujay Pandey

ABSTRACT. The detection of subtle open and short defects within standard cell instances of a design often requires the use of multi-pattern tests. We propose an SAT-based scan test generation approach that comprehends interleaved launch-on-capture and launch-on-shift test application methods and demonstrates coverage improvements on benchmark circuits.

11:30-12:30 Session 1C: Test and Mitigation with Analog and RF
11:30
Fast EVM Tuning of MIMO Wireless Systems Using Collaborative Parallel Testing and Implicit Reward Driven Learning

ABSTRACT. We first present a parallel testing scheme for testing Massive-MIMO transceiver arrays. Second, we propose a tuning scheme for the entire MIMO array using reinforcement learning. Yield improvement is demonstrated by simulation experiments.

11:50
Robust DfT Techniques for Built-in Fault Detection in Operational Amplifiers with High Coverage

ABSTRACT. Fault detection techniques reduce manufacturing cost by detecting faulty devices early in the test sequence. We propose a robust DfT technique to identify faulty op amps with 95% fault-coverage. Our method is digital thus circumventing expensive analog testing and can be used for power-on self-test and online health-monitoring after deployment.

12:10
Proactive Supply Noise Mitigation with Low-Latency Minor Voltage Regulator and Lightweight Current Prediction
PRESENTER: Jun Chen

ABSTRACT. This paper proposes a proactive method for mitigating emergent supply noises by introducing major-minor voltage regulator and lightweight current predictor. The method reduces the noise margin over 40 mV, also compensates the average voltage drop.

11:30-12:30 Session 1D: Interconnect Testing & Test Access (IP Papers)
11:30
IJTAG Through a Two-Pin Chip Interface
PRESENTER: Manu Baby

ABSTRACT. IJTAG requires 4 or 5 chip-level pins to drive a TAP controller. Not all our products have so many pins. In this paper we describe an IEEE P1687.1-based solution that drives a TAP from a 2-pin interface (a clock and a bidirectional dataIO), while maintaining a fully automated IJTAG flow.

11:45
High Speed Serial Links Risk Assessment in Industrial Post-Silicon Validation Exploiting Machine Learning Techniques

ABSTRACT. Reduced validation plans for post-Silicon system margin validation still consume a significant amount of time and resources and are prone to subjective bias by the engineer comparing data against the base product. In this work, we present an efficient methodology based on Machine Learning to make an automatic risk assessment.

12:00
Cost-Effective Test Method that can screen out Unexpected Failure in High Speed Serial Interface IPs
PRESENTER: Sang-Uck Ahn

ABSTRACT. In HSSI, it can cause unexpected issues that cannot screen fail chips, even if one of the differential positive and negative signals is abnormal status. This paper proposes a cost effective test method can screen unexpected failure without measurement performance degradation assisted by simple circuit modification and additional test sequence.

12:15
Scalable Test AccessIEEE 1687-Based Testing Methodology for AI SoC
PRESENTER: Haiying Ma

ABSTRACT. Complex AI SoCs are integrating a large number of on-chip and off-chip memories, cores and interfaces (JTAG, 1500), as well as security measures and Design-For-Test structures. In this case-study paper, we demonstrate using IEEE 1687-2014 to integrate all these different components into a single, unifying methodology for fast silicon bring-up.

12:30-13:00Social/Exhibit Hall
13:00-14:00 Session 2A: Enhancing Yield and Diagnosis
Chair:
13:00
Improved Chain Diagnosis Methodology with clock and control signal defect identification

ABSTRACT. Traditional scan chain diagnosis methodology only identifies the failing scan flops in a device and doesn’t provide any information on the possible origin of failure. This paper proposes an approach to diagnose defects on clock and control signal lines which helps in improving chain diagnosis resolution and accuracy.

13:20
Automating Design For Yield: Silicon Learning to Predictive Models and Design Optimization

ABSTRACT. We propose a framework to co-optimize Yield along with PPA through synthesis-APR by learning from silicon to create predictive models. Simulation results across three different IPs show projected yield improvements of 11-17% with no area and performance / timing penalty but with static and dynamic power penalty.

13:40
High Defect-Density Yield Learning using Three-Dimensional Logic Test Chips
PRESENTER: Zeye Liu

ABSTRACT. Various test vehicles that aim to identify yield detractors are essential for maturing a new semiconductor process before high volume production. In this work, a third dimension is added to ensure efficient diagnosis of multiple defects within a high defect-density environment. Experiments demonstrate a significant improvement in perfect diagnoses.

13:00-14:00 Session 2B: Special Session on Chiplet
13:00
Die-to-Die Testing and ECC Error Mitigation in Automotive and Industrial Safety Applications

ABSTRACT. In this paper, die-to-die testing scenario is considered, and a methodology is described for mitigating the effects of errors by using well-known Error Correcting Codes (ECC). An advanced ECC solution is then presented along with the infrastructure needed for effectively testing DRAMs, including soft errors and permanent faults.

13:00-14:00 Session 2C: Sensing and Modeling for Analog & RF
13:00
Rapid PLL Monitoring By a novel min-MAX Time-to-Digital Converter
PRESENTER: Shi-Yu Huang

ABSTRACT. We present a rapid min-MAX period monitoring scheme for PLLs. This new monitor is unique in this ability to process an input clock signal continuously, while recording both the minimum and maximum clock cycle times, so as to detect elusive online performance hazards.

13:20
Modeling Accuracy of Wideband Power Amplifiers with Memory Effects via Measurements
PRESENTER: Wei Gao

ABSTRACT. In this paper, an accurate modeling approach to wideband power amplifiers with memory effects is presented. Modeling accuracy is improved by interpolating the measured data from a low sampling rate of 40 MHz to high data rates with different interpolation factors based on the propagation delay of the actual PA.

13:40
Design Optimization for N-port RF Network Analyzers under Noise and Gain Imperfections
PRESENTER: Muslum Emir Avci

ABSTRACT. We present an analytical model for noise and gain imperfections in N-port network analyzers. Based on this model, we propose method for design optimization and compare the optimized analyzer with existing techniques.

13:00-14:00 Session 2D: Microprocessor & Memory Test (IP Papers)
Chair:
13:00
Test Challenges of Intel IA Cores
PRESENTER: Kun-Han Tsai

ABSTRACT. The structural test of Intel server cores contains two unique properties: the latch-based scan structure with two-phase clocking scheme, and reusing of the functional clocks to keep the structural test performance similar to the functional. The special design rule checks (DRCs) and ATPG to handle Intel cores are presented.

13:15
Novel Eye Diagram Estimation Technique to Assess Signal Integrity in High-Speed Memory Test
PRESENTER: Youngsu Oh

ABSTRACT. This paper presents a novel tool to analyze the atypical Shmoo plot extracted from ATE using the loopback method, to prove the possibility of analyzing signals in a real test environment. The analysis tool produces an eye diagram from the extracted Shmoo plot to determine the signal quality status.

13:30
Memory repair logic sharing techniques and their impact on yield

ABSTRACT. Techniques for sharing memory repair logic amongst memories are described. The techniques allow to reduce silicon area and loading time of repair information upon power up. The impact on yield is predicted using two different methods based on defect density and clustering or past silicon experience.

13:45
MBIST Supported Reliable eMRAM Sensing
PRESENTER: Jongsin Yun

ABSTRACT. It is a challenge in MRAM to set an optimal reference value required to reliably differentiate “1” and “0” states. In this paper, we are suggesting a fully automated trim process to adjust reference to ideal value by leveraging existing MBIST (Memory Built-in Self-Test) resources.

14:00-14:30Social/Exhibit Hall
14:30-15:30Diamond Support Presentation
16:30-17:30 Session Panel2: Panel: The Effect of 2020 on the Test Industry

This panel intends to broadly discuss the impact of Covid-19 on the industry this year. Panelists provide their perspective to the current state of the industry, and what might look like going into 2021.