ITC 2017: INTERNATIONAL TEST CONFERENCE 2017
PROGRAM FOR TUESDAY, OCTOBER 31ST
Days:
previous day
next day
all days

View: session overviewtalk overview

09:30-10:30 Session K1: Plenary Keynote: Testing Beyond the Green Light

Presenter:  Bob Klosterboer, EVP of the Analog Solutions Group, ON Semiconductor

Abstract:  This presentation will highlight some of the challenges and opportunities that test developers and test operations managers face in a changing data climate. Measured data will drive decisions not only about the product under test but potentially on the entire design and manufacturing ecosystem. I will also explore some the value tradeoffs of increased data harvesting vs reduced test cost requirements of each component.

Bio:  Robert Klosterboer joined ON Semiconductor in March 2008 and currently serves as Executive Vice President and General Manager of the Analog Solutions Group for ON Semiconductor and SCI LLC. From March 2008 to September 2012 he was Senior Vice President and General Manager of the business unit then known as the Automotive, industrial, Medical, & Mil/Aero Group. He has more than two decades of experience in the electronics industry. During his career, Mr. Klosterboer has held various engineering, marketing and product line management positions. Prior to joining ON Semiconductor in 2008, Mr. Klosterboer was Senior Vice President, Automotive & Industrial Group for AMI Semiconductor, Inc. Mr. Klosterboer joined AMIS in 1982 as a test engineer and during his tenure there he also was a design engineer, field applications engineer, design section manager, program development manager, and product marketing manager. Mr. Klosterboer holds a bachelor's degree in electrical engineering technology from Montana State University.

14:00-16:00 Session 1: Analog/RF BIST & Calibration
Chair:
Gordon Roberts (McGill University, Canada)
Discussant:
Haralampos-G. Stratigopoulos (Sorbonne Universités, UPMC Univ. Paris 6, CNRS, LIP6, France)
14:00
Nimit Jain (IIT Madras, India)
Nitin Agarwal (Texas Instruments (India) Pvt. Ltd, India)
Rajavelu Thinakaran (Texas Instruments (India) Pvt. Ltd, India)
Rubin Parekhji (Texas Instruments (India) Pvt. Ltd, India)
Low-Cost Dynamic Error Detection in Linearity Testing of SAR ADCs
SPEAKER: Nimit Jain

ABSTRACT. High resolution ADCs employing full linearity sweep suffer from high test cost. Alternate fast test methods published do not check dynamic linearity errors accurately. This paper analyzes these errors and provides a solution.

14:30
Sabyasachi Deyati (Georgia Institute of Technology, United States)
Barry Muldrey (Georgia Institute of Technology, United States)
Abhijit Chatterjee (Georgia Institute of Technology, United States)
Byunghoo Jung (Purdue University, United States)
Concurrent Built-in Test and Tuning of Beamforming MIMO Systems Using Learning-assisted Performance Optimization

ABSTRACT. We have developed a concurrent characterizing procedure to characterize all the channels simultaneously. Leveraging characterized data of sampled devices a locally gradient based optimization technique is developed to optimize the MIMO array performance is demonstrated.

15:00
Xiankun Jin (NXP Semiconductor, United States)
Tao Chen (Iowa State University, United States)
Mayank Jain (NXP Semiconductors, India)
Arun Kumar Barman (NXP semiconductors, India)
David Kramer (NXP Semiconductors, United States)
Doug Garrity (NXP Semiconductors, United States)
Randal Geiger (Iowa State University, United States)
Degang Chen (Iowa State University, United States)
An On-Chip ADC BIST Solution and the BIST-enabled Calibration Scheme
SPEAKER: Xiankun Jin

ABSTRACT. This paper presents an on-chip ADC BIST solution based on an algorithm known as USER-SMILE. Adapted for efficient hardware implementation, the solution correlates well with traditional histogram test and gains the ADC additional 10dB THD/SFDR.

15:30
Jae Woong Jeong (NXP Semiconductors, United States)
Ender Yilmaz (NXP Semiconductors, United States)
Leroy Winemberg (NXP Semiconductors, United States)
Sule Ozev (Arizona State University, United States)
Built-in Self-Test for Stability Measurement of Low-Dropout Regulator

ABSTRACT. This paper presents built-in self-test (BIST) for Low Dropout Regulator (LDO). On-chip BIST system measures impulse response of closed-loop LDO and then calculates phase margin and closed-loop bandwidth of LDO.

14:00-16:00 Session 2: Diagnosis
Chair:
Ken Butler (Texas Instruments, United States)
Discussant:
Phil Nigh (GLOBALFOUNDRIES, United States)
14:00
Subhadip Kundu (Synopsys, India)
Kuldip Kumar (Synopsys, India)
Rishi Kumar (Synopsys, India)
Rohit Kapur (Synopsys Inc., United States)
Diagnosing Multiple Faulty Chains with Low-Pin Convolution Compressor Using Compressed Production Test Set
SPEAKER: Rohit Kapur

ABSTRACT. High-resolution patterns are generally used to diagnose multiple chain failures. We have developed a new algorithm which can be used to diagnose multiple chains using compressed production test set only.

14:30
Srikanth Venkataraman (Intel, United States)
Irith Pomeranz (Purdue University, United States)
Shraddha Bodhe (Purdue University, United States)
Enamul Amyeen (Intel, United States)
Test Reordering for Improved Scan Chain Diagnosis Using an Enhanced Defect Diagnosis Procedure

ABSTRACT. A test reordering algorithm is presented to improve scan chain diagnosis. Tests are reordered based on information derived from limited fail data for faulty units by an enhanced defect diagnosis procedure.

15:00
Chuanhe Shan (University of California Santa Barbara, United States)
Pietro Babighian (GLOBALFOUNDRIES, United States)
Yan Pan (GLOBALFOUNDRIES, United States)
John Carulli (GLOBALFOUNDRIES, United States)
Li-C. Wang (University of California Santa Barbara, United States)
Systematic Defect Detection Methodology for Volume Diagnosis: A Data Mining Perspective
SPEAKER: Chuanhe Shan

ABSTRACT. This work introduces a data-driven methodology for detecting systematic defects using layout-aware scan diagnosis data. The methodology focuses on ranking the most systematic defective signatures. It was applied on three 14nm products for evaluation.

15:30
Sameer Chillarige (Cadence Design Systems, India)
Anil Malik (Cadence Design Systems, India)
Sharjinder Singh (Cadence Design Systems, India)
Joe Swenton (Cadence Design Systems, India)
Krishna Chakravadhanula (Cadence Design Systems, India)
High-Throughput Multiple Device Diagnosis System

ABSTRACT. This paper presents a new Multiple Device Diagnosis (MDD) system to significantly improve the throughput of volume diagnosis by performing diagnosis on groups of failing devices.

14:00-16:00 Session 3: Scan Architectures
Chair:
Tm Mak (self, United States)
Discussant:
Mehdi Tahoori (Karlsruhe Institute of Technology, Germany)
14:00
Wilson Pradeep (Texas Instruments, India)
Prakash Narayanan (Texas Instruments, India)
Rajesh Mittal (Texas Instruments, India)
Naman Maheshwari (Texas Instruments, India)
Nikita Naresh (Texas Instruments, India)
Frequency-Scaled Segmented (FSS) Scan Architecture for Optimized Scan-Shift Power and Faster Test Application Time

ABSTRACT. We present a novel frequency scaled segmented scan architecture to alleviate the impact of both peak and average scan shift power in low power industrial designs without any impact to overall test-application time.

14:30
Grady Giles (AMD, United States)
Jeff Rearick (AMD, United States)
Guoliang Li (AMD, China)
John Schulze (AMD, United States)
Yan Dong (AMD, United States)
James Wingfield (AMD, United States)
Tim Wood (AMD, United States)
Maximizing Scan Pin and Bandwidth Utilization with a Scan Routing Fabric
SPEAKER: Grady Giles

ABSTRACT. We describe a configurable switching network called the Scan Routing Fabric which connects a subset of a large number of scan channels to a small number of SOC pins and facilitates optimal pattern scheduling.

15:00
Xijiang Lin (Mentor Graphics Corp., United States)
On Applying Scan-based Structural Test for Designs with Dual-Edge Triggered Flip-Flops
SPEAKER: Xijiang Lin

ABSTRACT. We address several challenges to achieve highest structural test quality for the designs with dual-edge triggered flip-flops. The experimental results on modified ISCAS-89 and ITC-99 circuits demonstrate the effectiveness of the proposed strategies.

15:30
Stefan Holst (Kyushu Institute of Technology, Japan)
Eric Schneider (University of Stuttgart, Germany)
Koshi Kawagoe (Kyushu Institute of Technology, Japan)
Michael A. Kochte (University of Stuttgart, Germany)
Kohei Miyase (Kyushu Institute of Technology, Japan)
Hans-Joachim Wunderlich (University of Stuttgart, Germany)
Seiji Kajihara (Kyushu Institute of Technology, Japan)
Xiaoqing Wen (Kyushu Institute of Technology, Japan)
Analysis and Mitigation of IR-Drop-Induced Scan Shift-Errors
SPEAKER: Stefan Holst

ABSTRACT. Excessive IR-drop around clock buffers can corrupt test data during scan-shift. We assess this corruption risk and show that a few targeted pattern changes and maskings improve shift safety and test time significantly.

14:00-16:00 Session PO: Poster Previews
14:00
Raghuraman Rajanarayanan (Achronix Semiconductor, India)
Adam Cron (Synopsys, United States)
Interstitial DFT in SpeedCore™
SPEAKER: Adam Cron

ABSTRACT. DFT methodologies have moved to closer integration with Physical Design to reduce total cycle time in chip design. The geometry of the ASIC could play a pivotal role in DFT strategy and architecture.

14:04
Shravan K Chaganti (Iowa State University, United States)
Li Xu (Texas Instruments, United States)
Degang Chen (Iowa State University, United States)
A Low-Cost Jitter Separation and ADC Spectral Testing Method Without Requiring Coherent Sampling
SPEAKER: unknown

ABSTRACT. A low-cost method is presented for separating and estimating noise and aperture jitter of the ADC, and random clock jitter, while eliminating the need for coherent sampling. This enables accurate estimation of ADC specifications.

14:08
Martin Keim (Mentor Graphics - A Siemens Business, United States)
Al Crouch (Amida, United States)
Michael Laisne (Dialog Semiconductor, United States)
Quo Vadis IJTAG.1?
SPEAKER: unknown

ABSTRACT. Since its inception as a working group in December 2016, IEEE P1687.1 made progress on multiple fronts. This poster discusses this progress in detail.

14:12
Matthew Knowles (Mentor, a Siemens Business, United States)
Givargis Danialy (Mentor, a Siemens Business, United States)
Marc Hutner (Teradyne, Canada)
Scaling Interactive IJTAG Debug Beyond the Desktop to ATE
SPEAKER: unknown

ABSTRACT. As IJTAG adoption increases across advanced semiconductor devices, there is need for a standard approach to debugging and interacting with IJTAG instruments. A new approach is demonstrated, which interfaces standard DFT tools with standard ATE.

14:16
Kaitlyn Chen (Intel, United States)
Ramesh Sharma (Intel, United States)
Luc Romain (Mentor Graphics - A Siemens Business, Canada)
Reinhard Meier (Mentor Graphics Development (Deutschland) GmbH, Germany)
Jf Cote (Mentor Graphics - A Siemens Business, Canada)
Benoit Nadeau-Dostie (Mentor Graphics - A Siemens Business, Canada)
Albert Au (Mentor Graphics - A Siemens Business, Canada)
Martin Keim (Mentor Graphics - A Siemens Business, United States)
Reducing Memory BIST ATE Test Time Through a Data-ready Observation Port modeled in IJTAG
SPEAKER: unknown

ABSTRACT. During much of the ATE time for memory diagnosis, the MBIST controllers are idle, waiting for the ATE. A new hardware component allows the ATE to take action asap, reducing ATE test time.

14:20
Anne Meixner (The Engineers' Daughter LLC, United States)
Keith Arnold (PDF Solutions, United States)
An Immodest Proposal to Bridge Test and Design Data for SoC and IP Yield
SPEAKER: unknown

ABSTRACT. Successful IP yield learning requires IP design data. Barriers exist to connect knowledge found Design, Fab and Test. We suggest setting up a data exchange that guarantees security and payment thus facilitating sharing IP knowledge.

14:24
Wesley Smith (Mentor - A Siemens Business, United States)
Steven McDowall (Mentor - A Siemens Business, United States)
Marc Hutner (Teradyne Inc, Canada)
Taming of the Shmoo
SPEAKER: unknown

ABSTRACT. We will discuss a newly developed approach and its impact on a test and product engineer’s ability to isolate faults early in the product lifecycle.

14:28
Vidya Neerkundar (Mentor A Siemens Business, United States)
Henrik Bergendal (MicroSemi Corporation, Denmark)
Technique to Test Hierarchical Designs with Multiple Design Levels
SPEAKER: unknown

ABSTRACT. Hierarchical designs having > 2 levels of physical design hierarchies introduces its own complexities. This poster will show how to avoid adding redundant wrapper cells when signals go straight through multiple levels of physical hierarchies.

14:32
Matthew Beckler (Carnegie Mellon University, United States)
Shawn Blanton (CMU, United States)
Evaluation of Transition-X Fault Model for On-Chip Diagnosis of Multiple Defects
SPEAKER: unknown

ABSTRACT. In this paper, we evaluate the effectiveness of the TRAX fault model to diagnose multiple early-life and wear-out failures, through a series of on-chip diagnosis experiments.

14:36
Carl Wisnesky Ii (Cadence Design Systems, Inc., United States)
Patrick Gallagher (Cadence Design Systems, Inc., United States)
BIST On-demand Using Distributed On-Chip Programmable Data Streams
SPEAKER: unknown

ABSTRACT. This poster describes a distributed mechanism for storing programmable data streams on-chip and providing repeatable on-demand data streams for Power-On Self-Test (POST) and Mission-Mode Self-Test (MMST) applications, critical for emerging markets and automotive standards.

14:40
Daisuke Yabui (Tokushima University, Japan)
Masaki Hashizume (Tokushima University, Japan)
Hiroyuki Yotsuyanagi (Tokushima University, Japan)
Shyue-Kung Lu (National Taiwan Univ. of Science and Technology, Taiwan)
Online Electrical Interconnect Test Method Utilizing IEEE 1149.1 Architecture
SPEAKER: unknown

ABSTRACT. An online electrical interconnect test method is proposed for assembled PCB circuits. Interconnects between ICs and a PCB are tested by an electrical test after shipping by adding a mode to the IEEE114.9 standard.

14:44
Mike Lemanski (NXP Semiconductor, United States)
Kassem Hamze (NXP, United States)
Archana Jain (NXP, United States)
Development of a Production-worthy ATE Test Screen for a Unique Device Fail Signature
SPEAKER: unknown

ABSTRACT. A unique test strategy for a particularly unusual and difficult to detect fail signature is presented. Statistical analysis techniques/results of extensive characterization across temperature, voltage, process, and the various IP on the device is summarized.

14:48
Procheta Chatterjee (IIEST, Shibpur, India)
Surajit Kumar Roy (IIEST Shibpur, India)
Hafizur Rahaman (IIEST, Shibpur, India)
Chandan Giri (IIEST, Shbpur, India)
Testing TSVs for Micro-void and Pinhole Defects Using OTA
SPEAKER: unknown

ABSTRACT. This work proposes a pre-bond TSV test technique based on operational transducer amplifier (OTA) to detect both the micro-void and pinhole defects in TSV at the same time.

14:52
David Keezer (Georgia Institute of Technology, United States)
Jingchi Yang (Georgia Institute of Technology, United States)
Self-Test and Self-Repair Method for FPGAs
SPEAKER: unknown

ABSTRACT. This paper outlines a strategy, based on enhancement of TMR, for designing self-testing and self-repairing FPGAs and demonstrates the approach as applied to arrays of benchmark MSI and LSI logic blocks.

14:56
Todd Jacobs (NXP Semiconductors, United States)
William Morris (NXP Semiconductors, United States)
Sharon Levy (NXP Semiconductors, United States)
A VMIN Temperature Shift Outlier Screen for Cold Test Elimination
SPEAKER: unknown

ABSTRACT. An inverse temperature dependence VMIN outlier screen is developed as a key enabler of cold test elimination. This outlier screen dynamically sets voltage of test to account for natural process variation.

15:00
Tal Kogan (Intel, Israel)
Amihay Rabenu (Inetl, Israel)
Tal Frucht (Intel, Israel)
Hierarchical Hybrid EDT-LBIST System
SPEAKER: Tal Kogan

ABSTRACT. New structural testing challenges include huge design performing In-System-Testing continuously, identifying silicon failures during operation. Addressing these challenges is done through Hierarchical DFT combined with Hybrid EDT-LBIST system containing configurable chain length.

15:04
V.R. Devanathan (Texas Instruments Inc, United States)
Sumant Kale (Texas Instruments Inc, United States)
A Hierarchical, Power-safe, Parallel Memory Self-Test Architecture for In-Field Test

ABSTRACT. We present a novel, area-efficient parallel memory self-test architecture to reduce the test time and thereby reducing the overall system boot-time while honoring the functional power constraints that results in >5X test time reduction.

15:08
Hardik Bhagat (GlobalFoundries, India)
Greeshma Jayakumar (GlobalFoundries, India)
Automatic Solution to Frame-Clock-Domain Groupings for Efficient At-Speed Structural Testing
SPEAKER: unknown

ABSTRACT. An algorithm for getting efficient first time right clock domain groups for at-speed test for complicated designs. Automating algorithm has saved days of manual grouping efforts and ATPG re-spins due to human errors.

15:12
Mahroo Zandrahimi (Delft University of Technology, Netherlands)
Philippe Debaud (ST-Microelectronics, France)
Armand Castillejo (STMicroelectronics, France)
Zaid Al-Ars (Delft University, Netherlands)
Transition Fault Testing for Offline Adaptive Voltage Scaling
SPEAKER: unknown

ABSTRACT. Using a 28nm FD-SOI device, this paper shows that voltage scaling using PMB can only account for 85% of the variability in the measurements, while transition fault testing can account for 99% of that variability.

15:16
Yan Duan (Iowa State University, United States)
Hsinho Wu (Intel, United States)
Masashi Shimanouchi (Intel, United States)
Mike Peng Li (Intel, United States)
Degang Chen (Iowa State University, United States)
A Comparator-based Method for Decomposition of Random and Data-dependent Jitter in High-Speed Data Links
SPEAKER: unknown

ABSTRACT. The proposed method simultaneously decomposes periodic, ISI, and random jitters, using Boolean outputs from small, low-cost comparators instead of TIE data. Simulation and measurements demonstrate accuracy and cost-efficiency using significantly fewer samples than conventional methods.

15:20
Vinay Kumar (STMicroelectronics Inc, United States)
Adam Cron (Synopsys, United States)
A Method to Debug LBIST-Mode SSA/TF Silicon Failure Accurately Using Scan-Through-TAP (STT) Mode
SPEAKER: Adam Cron

ABSTRACT. As we know, the LBIST mode pass/fail MISR signature is very complex to debug. To debug LBIST mode failures for Single stuck-at {SSA} or Transition fault {TF} failures, the Scan-Through-Tap {STT mode} is a very accurate methodology. In STT mode, all scan chains are hooked up in a single san chain and access via JTAG TDI/TDO pins. The good values of the scan cells in LBIST Capture mode are extract for the ATPG tool. The good value are compared with the scan unloaded values during the scan load-unload of long scan chain formed in STT mode.

15:24
Ya-Syuan Wu (National Central University, Taiwan)
Ching-Ju Lin (National Central University, Taiwan)
Jwu E Chen (National Central University, Taiwan)
Hsing-Chung Liang (Hsing-Chung Liang Chung Yuan Christian University, Taiwan)
The Rainbow Transformed from a Set of Uniform-Defect Wafer Maps
SPEAKER: unknown

ABSTRACT. In wafer map analysis, in order to detect systemic defects, we first need to describe the characteristics of uniform-defect pattern. A method is proposed that only two normalized parameters are used and transformed into a point in a new scatter plane. A bend in the scatter plane like the rainbow in the sky is found which is constituted from a set of wafer maps with various numbers of uniform defects generated from the Monte-Carlo Simulation. Given a wafer map, these two parameters are the total number of bad dice and the total number of contiguous lines covering the bad dice. Comparing to the results with pattern recognition, it is shown that the bend can be used to classify some parts of local clustering on the classified real wafer maps. Especially, in additional to the pattern of over-clustering, anti-clustering defects could be found in the real-world wafer maps.

15:28
Mallika Pokharel (Texas A&M University, United States)
Duncan Walker (Texas A&M University, United States)
Multicycle At-Speed Test
SPEAKER: unknown

ABSTRACT. We develop an algorithm that is used to generate minimal number of patterns for path delay test of integrated circuits using multi-cycle at-speed test. Existing algorithm generates a new pattern if the necessary assignments of the path conflict with the patterns in the pattern pool. In this work, we test the circuits in functional mode, where multiple functional cycles follow after the test pattern scan-in operation. Experiments show that K longest paths for a target gate which were not compacted in the first time frame were observed to be compacted in different time frames leading to the increase in paths per pattern.

15:32
Jonathan Phelps (On Semiconductor, United States)
Vidya Neerkundar (Mentor A Siemens Business, United States)
Productivity Gains with Hierarchical DFT Methodology for Physically Flat Design – A Case Study
SPEAKER: unknown

ABSTRACT. Design for Test (DFT) plays an important and increasing role in testing large SOCs during manufacturing. Most designs aim for high test quality standards, but allow limited time for test insertion. This poster shows how we were able to achieve over 6x productivity improvement gains (turn-around time to insert DFT) by using hierarchical DFT implementation methods on a large SOC design even when layout was performed flat.

15:36
A.T Sivaram (Advantest, United States)
Oyama Yasuji (Advantest, United States)
Sam El Alam (Qualcomm, United States)
Arul Subbarayan (Qualcomm, United States)
Delay Fault Testing Using Cloud Testing Service
SPEAKER: unknown

ABSTRACT. Nanometer technology has led to a drastic increase in operational frequency of semiconductor circuits. Consequently the performance of circuit becomes more vulnerable to delay variation. Ordinarily testing delay defects requires that the test vectors be applied to the circuit at its intended operating speed. However since high speed testers require capital investments testing for delay defects on a low cost/low speed tester requires special test application if existing test generation strategies are to be used. In this paper we describe how a new innovative service oriented test solution whose main goal is to reduce test development, debug and new silicon verification costs, is used by Qualcomm for testing delay faults in a design verification environment.

15:40
Kevin Fan (Advantest, Taiwan)
Multisite PMIC Fast Trimming with Pattern-based Search Function
SPEAKER: Kevin Fan

ABSTRACT. This paper Illustration how to perform trimming approach by multi-site execution, and demonstrate Pattern Based Binary Search to speed up trimming search methodology, the performance evaluation by V93000 ATE tester shows 80% test time improvement.

16:30-18:00 Session S1: Special Session: Benchmarks

Organizer: Jeff Rearick, AMD

For evaluating the performance and effectiveness of new test methods and algorithms, and for comparing new approaches with those previously published, benchmark circuits have proven to be highly useful.  This session will explore two new sets of benchmarks, one for analog circuits and the other for 1687 networks.  Both of these topics are quite active in the industry and the standards development community, which makes the release of these benchmarks timely and pertinent.  To examine the importance of benchmarks in general, as well as to strike a cautionary note about the effects of over-reliance on the specific attributes of published benchmarks, the final presentation will review several other available test benchmarks and reflect on what we’ve learned in the past decades through using them.

Chair:
Jeff Rearick (AMD, United States)
16:30
Stephen Sunter (Mentor Graphics, Canada)
Peter Sarson (ams, Austria)
A/MS Benchmark Circuits for Comparing Fault Simulation, DFT, and Test Generation Methods

ABSTRACT. Twenty years after the first published A/MS benchmark circuits, this paper describes the first, publicly-available A/MS benchmark circuits that include realistic process models with corners, netlists for common A/MS and digital cells, specifications, and testbenches.

17:00
Sergei Devadze (Testonica Lab, Estonia)
Artur Jutman (Testonica, Estonia)
Anton Tsertov (Testonica Lab, Estonia)
Jeff Rearick (AMD, United States)
Doing more with ITC'2016 IEEE 1687 Benchmarks: Ecosystem and PDLs

ABSTRACT. We present a software library and API for parsing the IJTAG benchmarks (ICL) and building/exporting network models to target formats enabling easy integration into arbitrary SW packages. We also provide simple PDLs for retargeting experiments.

17:30
Scott Davidson (Retired, United States)
A Third of a Century of ATPG Benchmarks

ABSTRACT. Ever since ISCAS’85, benchmarks have driven research into ATPG and other aspects of testing. We will give a brief history, show how results were presented before 1985, and give my experience in being on the ISCAS’89 panel and putting together the ITC’99 benchmarks. We will also give some of the advantages and problems of using benchmarks to drive research.

16:30-18:00 Session S2: Special Session: Design to Specifications and Test for Defects in Analog

Description: Testing of analog circuits has been challenging since there is no uniformly accepted behavior of defects and no established relationship between defects and fault coverage therein. To ensure quality of the manufactured circuit, there is a tendency to test the circuit for all its operating performance parameters. However, this is becoming increasingly unaffordable and hence there is an increasing focus on testing for defects alone as against testing for specifications. This special session will have three experts present their views on what is required for designing to specifications and testing for defects alone in analog circuits, and what are the impediments to adopting this as a design and test methodology. These presentations will cover illustrations on where and how these methods have been successfully used on today’s circuits and what are the challenges preventing their wider adoption. Other aspects of evaluating these methods using silicon data from characterization and production, and how they scale for low DPPM requirements will also be covered. These will lead to a recipe for defect based testing for analog. 

Chair:
Rubin Parekhji (Texas Instruments (Bangalore), India)
16:30
Wim Dobbelaere (ON Semiconductor, Belgium)
Testing for Latent Defects in the Analog: Does the Spec. Matter?

ABSTRACT. Latent defects are an important source of analog field failures. Novel defect-oriented methods are investigated to replace the traditional performance-based analog testing and “defect activation coverage” is introduced as an effective figure of merit.

17:00
Mike Ales (Texas Instruments, United States)
Functional vs. Defect-based Testing in Context of Analog Mixed-Signal Blocks
SPEAKER: Mike Ales

ABSTRACT. Functional based Testing involve long test times and development times. Efforts have been associated with reducing test times or improving accuracy. We will discuss a few avenues for further investigation to enhance screening for defects.

17:30
Abhijit Chatterjee (Georgia Institute of Technology, United States)
Advanced Test Methods for Mixed-Signal Circuits: Specification vs. Defect-based Test

ABSTRACT. In this talk, the pros and cons of specification vs. defect driven testing of AMS circuits will be discussed. Possible cost-effective test scenarios for a range of future products will be discussed.

16:30-18:00 Session TC: IEEE TTTC E.J. McCluskey Best Doctoral Thesis Award 2017: Final Competition
Chair:
Michele Portolan (IMAG, France)
16:30
Boyang Du (Politecnico di Torino, Italy)
Luca Sterpone (Politecnico di Torino, Italy)
Fault-tolerant Electronic System Design
SPEAKER: Boyang Du

ABSTRACT. In this thesis, new soft error detection solutions have been proposed exploiting existing debug infrastructures in processor-based systems. Meanwhile, Single Event Effects analysis and mitigation solutions have been proposed for SRAM- and Flash-based FPGA devices.

17:00
Yuming Zhuang (Iowa State University, United States)
Degang Chen (Iowa State University, United States)
Accurate and Robust Spectral Testing with Relaxed Instrumentation Requirements
SPEAKER: Yuming Zhuang

ABSTRACT. This paper proposes several accurate and robust algorithms to relax the stringent test requirements of high precision data converters, and to reduce the test cost while maintaining the test efficiency, accuracy without precision test instrumentation.

17:30
Surajit Kumar Roy (Indian Institute of Engineering Science and Technology, India)
Design-for-Test and Test Optimisation for 3D SOCs

ABSTRACT. 3D integration based on through-Silicon-Via (TSV) is an emerging area in semiconductor industry. Effective test architecture optimization techniques are essential to minimize the manufacturing test cost. This paper addresses test architecture optimization and DfT for 3D ICs.

16:30-18:00 Session TUT1: Advances in Diagnosis in Nano-scale Era

Post Silicon diagnosis drives the isolation of manufacturing defects and provides feedbacks for process improvement and is critical for enabling Moore’s law and semiconductor technology scaling. Due to the increasing complexity of nano-scale manufacturing fabrication, the need for faster root-cause of issues is essential for volume production ramp to meet the product time to market demand.  Over the last several years, many innovations have been made and novel solutions are emerging for better and faster defect isolation. With the advent of new transistor devices, lithography, and fabrication processes, the demand for improving the defect isolation and faster yield learning will continue to grow in coming years.

 

In this tutorial, we will review the basics of diagnosis approaches, and advancements in post silicon diagnosis field. In addition to diagnosis quality improvement, increased focus has been made to volume processing of diagnosis results for yield learning. This has resulted in introduction of new DFT technologies to obtain and process massive amount of fail data, and to provide better controllability and observability of failures to narrow down the defect suspects. Diagnosis algorithms are optimized to provide speed-ups in analysis time. Advancements are made in fault modeling to abstract the complex defect behavior and logical analysis of failures are being incorporated with layout analysis for finer pruning of diagnosis candidates.  We will review emerging techniques of learning based diagnosis approach which combining with process sensitivity, DFM constraints, and lithography simulation will be the key for driving the innovations for future technology generations.

Chair:
Manish Sharma (Mentor Graphics, United States)
16:30
Shawn Blanton (CMU, United States)
Diagnosis Part 1
SPEAKER: Shawn Blanton
17:00
Enamul Amyeen (Intel, United States)
Diagnosis Part 2
SPEAKER: Enamul Amyeen
17:30
Srikanth Venkataraman (Intel, United States)
Diagnosis Part 3