LASCAS2021: 12TH IEEE LATIN AMERICAN SYMPOSIUM ON CIRCUITS AND SYSTEMS
TALK KEYWORD INDEX

This page contains an index consisting of author-provided keywords.

1
100GbE
2
22nm FDSOI
A
abstract modeling
accuracy
analog
Analog computing
analog IC design
analog simulator
analog-to-digital converter (ADC)
answer set programming
AOMedia Video 1
Approximate Adder
Approximate computing
approximate matrix inversion
Arithmetic circuits
ARM Cortex-A9
Artificial Neural Network
ASICs Dependability
ASIP
automotive
AV1
B
background calibration
Backpropagation algorithm
behavioral models
Binary comparator
Bioimpedance
biomedical
Body biasing
Breast Cancer Detection
Bridging defect
C
cache memory
Calibration
capsule endoscopy
CCDD
cell design automation
chaotic oscillator
Charge balance analysis
Charge pump
Circuit models
circuit simulation
classification
Clock generator
CMOS
CMOS analog design
CMOS analog integrated circuits
CMOS Hybrid SAR ADC
CMOS integrated circuits
CMOS voltage reference
CNN
Cole-Impedance parameters
comb filter
Comparators
Comparison
compensator
computational memory
Computer Vision
Confocal algorithm
Contact-less temperature measurements
Continuous-time
Control Flow Monitoring
Convolution Hardware Accelerator
Convolutional Neural Network
Coprocessors
CoreSight
COTS components
crossbar
cryptography
CTLE
CTSDM
Current Behavior
Current-mode Readout System
Current-steering
D
D/A converter
Data Aggregation
Datacenter
DC-DC power converters
Decimation
decoupling cells
Delay-locked loop
delay-locked-loop (DLL)
Delta Sigma
Design automation
design strategy
design tools
device variation
DFE
Diffusion charge redistribution
digital-to-analog converters
direct conversion
discrete-time chaos
DNA Computing
Down-Conversion
DPD
Dual-mode logic
dual-rail code
Dynamic voltage and frequency scaling
dynamical systems
E
EDA
embedded memory
Energy harvesting
Energy-delay product
Environmental assesstment
Equalization
error backpropagation
error propagation
evolutionary algorithms
F
Factorial DLLs
fault detection
Fault Emulation
Fault Simulation
FDSOI
femto-watt consumption
FFE
FFT
Field Programmable Gate Arrays
FinFET
FIR-DAC
Forward body biasing (FBB)
FPGA
frequency interleaving DAC
Frequency Modulation
Frequency Synthesizers
front-end electronics
full wave rectifier
G
gain calibration
Gait Energy Image
GARO
Gauss Seidel
Genomics
GPU
Graph Models
H
half-delay
Hardware Architectures
Hardware Design
Hardware Neural Network
hardware security
Hardware Trojans
Harmonics
high-speed optical transmitter
HNN
I
in-memory computing
in-memory logic
inductorless
Injection-locking
integrated circuit
integrated circuit modelling
Integrated circuits
Integrated photodiode
Interface circuit
Internet of Things
Intra Prediction
intrinsic noise
ionizing radiation
IoT
ISFET
J
Jitter
JTAG
L
laser driver
laser spectroscopy
LEDR code
LeNet-5
Libras
linearization
link-level simulation
LMS
LNA
low noise amplifier
low power
low voltage
Low-noise amplifier
Low-power
Low-Power Frequency generation
low-power wireless
M
Machine Learning
manycores
Massive MIMO
Mathematical modeling
MAX30001
Maximum power point tracking
Medical imaging
memristor
Memristors
Microelectronics
Microeletronics
microprocessors
MIMO detection
Minimap2
Mixed analog digital integrated circuits
mixer
MNIST
model-based design
modified comb filter
motion
Multi-band
Multi-standard
Multiplier
N
nanotechnology
Neumann Series
neural networks
Nitrates monitor
noise figure
Noise reduction techniques
non-recursive structure
nonlinear optimization
numerical matrix computation
O
Offset voltage
Online Trace
Open-Source
operational transconductance amplifiers
optical coherent receiver
Optical receiver
optimization
OVP
P
PAM4
Parallel Computing
partial fault tolerance
Particle Swarm Optimization
Partitioning
passive coherent location (PCL)
Passive radar
Pattern Matching
PCE
Phase noise
photodetector
photodiode
Photovoltaic optimization
physically unclonable function (PUF)
pipeline
pipeline TI-ADC
Polynomial Regression
Power Consumption
PPA
Pre-distortion
Process Variability
Q
QDI asynchronous logic
R
radar
radhard design
Radiation-Hardness-by-Design
Radix-2 Butterflies
random number generator
Reconfigurable
redundancy
reference current
Reliability analysis
residue amplifier
resistive open defect
resistive RAM
resistive switch
Respiratory Signal
Ring oscillator
RISC-V
RRAM
S
SAR
SAS
Schmitt Trigger
sensing
sensor networks
Series residual impedance
short channel effects
Sigma-Delta Modulator
Sign Language
Simulation
Single Event Effects
single event upsets
single-ended
Single-Event-Upset
sizing
Soft error Reliability
soft errors
speed-independent control circuit
split-source (SS) comparator
sports
SRAM
stair matrix
Startup circuit
static CMOS complex gate
Successive approximation register (SAR)
switched-capacitor circuit
switching power converters
T
target location
tent map
Terms—Analog to digital converters
TFET 32nm
Thermal sensor
TIA
time-domain adder
Time-domain amplifier
time-domain integrator
time-domain register
tracking
traffic evaluation
Transconductance Amplifier
Transimpedance amplifier
transistor network
Triple Modular Redundancy
U
ultra low transconductance
Ultra-Wideband
V
varactor
VCE
Verilog-A
Video Coding
VLSI
Voltage readout
voltage scaling
W
wake-up radios
wake-up receivers
Wavelet Haar Transform
Wearable
Wireless
Wireline receiver