EPEPS 2025: 34TH IEEE CONFERENCE ON ELECTRICAL PERFORMANCE OF ELECTRONIC PACKAGING AND SYSTEMS
TALK KEYWORD INDEX

This page contains an index consisting of author-provided keywords.

1
1.3DIC
2
2.Diffusion
3
3-D differential surface admittance (DSA) operator
3.thermal
4
4.sample-efficiency
448 Gbps
5
5.floorplanning
5G
A
Activation Function
Active interposer
Adaptive Frequency Sampling
aging
AI
AI
ai hardware
analog signal processing
applications
artificial intelligence
atomchip
augmented intelligence
augmented reality
B
backdrill
Backside power delivery network
balanced line
balanced line
bandit algorithm
Bayesian neural networks
Bayesian optimization
bent differential transmission lines
BEOL integration
BGA
BGA pin field
BiCGSTAB Solver
boundary element methods
bridged-T coil
broadside-coupled stripline
BSIM-CMG compact model
burn in
C
calibration
Cavity
Channel-identification
Chiplet
Chiplet
circuit simulation
Clocking
CMR filter
Co-packaged optical systems
Co-packaged Optics
common-mode rejection
common-mode rejection
Computer-Aided Design
Connectors
Contrastive learning
CPO
crosstalk
Crosstalk
Cryogenic Measurements
Cryostats
CTLE
CUDA
D
DDR5
de-embed
Decoupling capacitor
deep neural networks
Denoising regression
Design Optimization
DFB laser
DFE
differential-to-common-mode conversion
digital signal
DIMM
dispersive group delay
Domain Decomposition
DRAM
Droop Mitigation
Dual-encoder
Dynamic Time Warping
E
edge-coupled stripline
EDSFF Connector
electrical
electromagnetic analysis
Electromagnetic coupling
Electromagnetic Modeling
Electromagnetic Simulation
EM Modeling
end-to-end design
Equalization
equivalent circuit
ethernet
F
fabrication
Fast iterative solvers
fault-tolerance
Feed-forward Equalizer (FFE)
FFE
finite element method
fixture
Frequency Domain Modeling
G
glass
Glass substrate
glass weave
GPU
graphene–copper films
gravimeter
ground resonance
H
HBM
HBM-centric architecture
heat sink
heterogeneous integration
heterogenous I/O integration
High bandwidth memory
High bandwidth memory (HBM)
High performance computing (HPC)
high pressure cooling
High-bandwidth memory
high-speed
high-speed digital signal
high-speed interconnects
High-speed link
high-speed signaling
High-Speed Vias
Hybrid bonding
I
Impedance
impulse response correction
insertion loss
integral equations
Integrated circuits
Inter-Symbol Interference (ISI)
interconnect
interposer
IO
L
Laguerre-FDTD
Latency insertion method
leakage
local polynomial interpolation
Local silicon bridge
logarithmic complexity
low-rank compression
lower Dk
LPDDR5
Lumped-circuit model
LVR
M
machine learning
Machine-Learning
Mamba
manufacturing
material interfaces
MCP
measurement
Memory Architecture
Memory expansion
method of moments
Microbump
MIP
mixed mode
mode conversion
Modeling
multi-sleep modes
N
negative-capacitance FinFET
Neural Networks
O
on wafer
on-chip capacitor
on-chip delay unit
On-chip interconnect
on-chip tunable delay line
Optical I/O
organic core
OSFP
P
package
packaging
PAM-4
PAM4
PCB
PCB material
PCIe
PCIe Gen6.0
PHY
piecewise homogeneous media
Power Delivery Network
Power delivery network (PDN)
power delivery system
Power distribution network
power electronics
power gating
power integrity
Power integrity
power integrity (PI)
printed circuit board
PSO
PTH
Q
quantum
quantum systems
quantum technology
Query-by-Committee
R
Reinforcement learning
reliability
resonance
RL extractor
S
S-parameter
sensitivity
serdes
Servers
Settling Time
SI
signal integrity
signal integrity
Signal integrity (SI)
signaling
SignalIntegrity
silicon interposer
Simultaneous Switching Noise
single-source boundary integral equation (BIE)
SiP
skew
spectral harvesting
SPICE
Spoof-surface plasmon polariton
SSD
Stateye
static IR drop
stripline
superconductor
superconductors
surface roughness
surrogate modeling
switchable inductor
T
TDP
TDR
tensor train
Tensor train decomposition
testing
thermal
thermal conductivity
Thermal integrity
through silicon via
through silicon via (TSV)
Through-silicon vias
time-domain gating
tranceiver
transmission line
Transmission Lines
TSV
tutorial
Twinax cables
U
UCIe
ultra-high-speed digital signal
Universal Chiplet Interconnect Express
V
Vector Fitting
vertical power delivery
Via
via-to-trace crosstalk