ITC 2023: INTERNATIONAL TEST CONFERENCE 2023
PROGRAM FOR THURSDAY, OCTOBER 12TH
Days:
previous day
all days

View: session overviewtalk overview

10:00-10:30Coffee Break
10:30-12:00 Session A5: Automotive
Chair:
Chen He (NXP, United States)
10:30
Ayush Arunachalam (University of Texas at Dallas, United States)
Sanjay Das (University of Texas at Dallas, United States)
Monikka Rajan (University of Texas at Dallas, United States)
Fei Su (Intel Corporation, United States)
Xiankun Jin (NXP Semiconductors, United States)
Suvadeep Banerjee (Intel Corporation, United States)
Arnab Raha (Intel Corporation, United States)
Suriyaprakash Natarajan (Intel Corporation, United States)
Kanad Basu (University of Texas at Dallas, United States)
Enhanced ML-based Approach for Functional Safety Improvement in Automotive AMS Circuits
PRESENTER: Sanjay Das

ABSTRACT. The extensive adoption of safety-critical applications in high-assurance environments has laid emphasis on safeguarding the Functional Safety (FuSa) of such systems. To this end, we formulated a novel unsupervised learning-based FuSa violation detection solution for automotive Analog and Mixed Signal (AMS) circuits (ITC’22). However, existing approaches are limited by pre-specified feature inputs, and lack rationale for identifying observation signals. To address these issues and further augment our original solution, we propose a novel anomaly detection strategy involving: (1) a genetic algorithm-based feature selection approach, (2) a novel signal selection algorithm to identify the best intermediate circuit signal, both for enhanced detection performance and reduced latency, and (3) an explainable AI-based framework to enhance user interpretability and transparency of our existing solution. The proposed approach, evaluated on two representative automotive AMS circuits, furnishes up to 100% detection accuracy and 2.3X reduction in detection time, thereby exhibiting the efficacy of our solution.

11:00
Ming-Hsien Hsiao (National Yang Ming Chiao Tung University, Taiwan)
Han-Ya Tsai (National Yang Ming Chiao Tung University, Taiwan)
Lowry P.-T. Wang (National Yang Ming Chiao Tung University, Taiwan)
Chia-Wei Liang (National Yang Ming Chiao Tung University, Taiwan)
Charles H.-P. Wen (National Yang Ming Chiao Tung University, Taiwan)
Herming Chiueh (National Yang Ming Chiao Tung University, Taiwan)
Preventing Single-Event Double-Node Upsets by Engineering Change Order in Latch Designs
PRESENTER: Lowry P.-T. Wang

ABSTRACT. Single-event-induced soft errors (SEDU) are serious issues in advanced nano-scale technology, causing malfunctions in systems. Previous studies have attempted to prevent SEDU by incorporating protection mechanisms in cell designs or modifying the physical layout. This paper proposes a LESER framework to reconstruct the latch design, achieving 100% SEDU tolerance with minimum performance penalties. Experiments show that the reconstructed design can achieve a 100% soft error protection rate with the costs of an increment of 6.4% in area, 1% in timing and power penalty.

11:30
Saidapet Ramesh (NXP Semiconductors, United States)
Jesse Yanez (NXP semiconductors, United States)
Rahul Kalyan (NXP Semiconductors, United States)
Andreas Glowatz (Siemens, Germany)
Maija Ryynaenen (Siemens, Germany)
Sergej Schwarz (Siemens, Germany)
Measuring Non-Redundant VIA Test-Coverage for Automotive Designs in Lower Process Nodes
PRESENTER: Saidapet Ramesh

ABSTRACT. Achieving ZERO defects has historically relied on port-level test-coverage (TC) from traditional scan Stuck-At and Transition-Delay tests. Defect-Oriented tests from Siemens showed a new way to measure test coverage using layout-based Total-Critical area. With the ever-shrinking process nodes, the BEOL layers are closer together and the redundancy factor of VIA’s, especially the lower VIA layers has been dropping down significantly. Internal studies from quality and reliability failures confirmed non-redundant (NR) VIA defects that escaped all traditional detailed test coverage analysis. This motivated us to collaborate closely with our EDA tool vendor to develop a new industry first per-layer NR VIA fault model extraction flow using which we were able to successfully quantify NR VIA TC for set of scan atpg tests running in production test program. Details of a case-study using new fault extraction and fault simulation flow to quantify per-layer NR VIA TC will be discussed in this paper.

10:30-12:00 Session B5: Post Silicon 1
Chair:
Janusz Rajski (Mentor Graphics, United States)
10:30
Bing-Han Hsieh (National Taiwan University, Taiwan)
Yun-Sheng Liu (National Taiwan University, Taiwan)
James Chien-Mo Li (National Taiwan University, Taiwan)
Chris Nigh (Qualcomm Technologies, Inc., San Diego, CA, USA, United States)
Mason Chern (Qualcomm Semiconductor Limited, Hsinchu, Taiwan, Taiwan)
Gaurav Bhargava (Qualcomm India Private Limited, India)
Diagnosis of Systematic Delay Failures through Subset Relationship Analysis
PRESENTER: Yun-Sheng Liu

ABSTRACT. Delay faults have become increasingly important in modern designs due to decreasing technology node size and increasing operation frequency. However, diagnosis of delay faults can be challenging since there are typically few of failing bits in the test failures. In this work, a two-phase flow is presented to identify systematic delay failures and improve their corresponding diagnosis resolution. First, the subset relationships among test failures are analyzed to identify systematic defects. Then, representative test failures in the subset relationships are selected for diagnosis of the defect behavior. Experiments on two cores of an industrial design with three cases show over 33x, 69x, and 8x improvement on delay fault diagnosis resolution. Furthermore, the proposed technique can be easily integrated with commercial tools.

11:00
Manoj Devendhiran (Intel Corporation, United States)
Jakub Janicki (Siemens DISW, Poland)
Szczepan Urban (Siemens DISW, Poland)
Manish Sharma (Siemens DISW, United States)
Jayant D'Souza (Siemens DISW, United States)
Predicting the resolution of scan diagnosis
PRESENTER: Jakub Janicki

ABSTRACT. Scan diagnosis is relied upon to provide localized defect suspects for failing die using failing test cycle information for that die and design data. These suspects from scan diagnosis have been used to drive failure analysis (FA) to find the root cause of manufacturing yield loss. The fewer suspects that scan diagnosis produces (higher diagnosis resolution), the quicker and more efficient the FA cycle time - mainly due to the reduced need for fault isolation for these highly resolved diagnosis reports. Identifying design or test pattern-related bottlenecks to diagnosis resolution earlier in the design cycle can be useful to anticipate the impact of a particular design on yield learning. In the technique described in this paper, we show how diagnosis resolution can be estimated from design data for both chain and logic defects. Detailed comparison of diagnostic metrics and resolution statistics from silicon results presented show a strong correlation.

11:30
Julia Lefevre (STMicroelectronics, France)
Philippe Debaud (ST-Microelectronics, France)
Patrick Girard (LIRMM, France)
Arnaud Virazel (LIRMM, France)
Predictor BIST: An “All-in-One” Optical Test Solution for CMOS Image Sensors
PRESENTER: Julia Lefevre

ABSTRACT. This paper presents an all-in-one test solution for CMOS image sensors allowing to fully replace the optical test done today with an ATE by a low cost embedded (BIST) test solution that reduces test time.

10:30-12:00 Session C5: ChipAct Panel

$52 billion from the US Chips Act and €43 billion ($47 B) from the EU Chips Act is a lot of money flowing into the semiconductor business.  How will it be spent?  Besides the foundries, will there be a portion available to the design and test sectors?  What is the status of these programs and how can we learn more about them?  The experts on our panel will share their knowledge and experience.

Panelists:

Antonio De La Serna (Siemens)

Jonathan Hoganson (AMD)

John Carulli (Global Foundries)

Subramanian Iyer (Director of the CHIPS R&D National Advanced Packaging Manufacturing Program)

Chair:
Jeff Rearick (AMD, United States)
Commentary:
Jeff Rearick (AMD, United States)
10:30-12:00 Session D5: Silent Data Corruption: Leveraging RAS for the Fleet Health (Special Session)

"Fleet Health and Silent Data Corruptions in Meta Infrastructure", Harish Dattatraya Dixit, Meta

“Could Standardizing RAS Requirements Impact Silent Data Corruption?”, Yervant Zorian, Jyotika Athavale, Synopsys

“Harnessing the Power of Microarchitectural Modeling for Fast Prediction of SDC rates throughout Silicon Lifetime”, Dimitris Gizopoulos, University of Athens

Commentary:
Yervant Zorian (Synopsys, United States)
12:00-13:30Lunch Break (Exhibit Closed at 1:30pm)
13:30-15:00 Session A6: Security
Chair:
Shawn Blanton (CMU, United States)
13:30
Rasheed Kibria (University of Florida, United States)
Farimah Farahmandi (University of Florida, United States)
Mark Tehranipoor (University of Florida, United States)
ARC-FSM-G: Automatic Security Rule Checking for Finite State Machine at the Netlist Abstraction
PRESENTER: Rasheed Kibria

ABSTRACT. Modern system-on-chip (SoC) designs are becoming prone to numerous security threats due to the evergrowing complexity and size of the designs. Therefore, the early stage of the VLSI design flow requires a comprehensive security verification framework. The control flow of an SoC, generally implemented using finite state machines (FSMs), is not an exception to this requirement. The control FSMs may be prone to fault-injection and denial-of-service (DoS) attacks or have inherent information leakage and access control issues at the gate-level netlist abstraction. Therefore, defining a set of security rules (guidelines) for obtaining FSM implementations free from particular security-critical bugs after performing logic synthesis is crucial. Moreover, a framework is required to validate that these security rules are followed. In this paper, we propose a set of such security rules for control FSM design and a verification framework called ARC-FSM-G to check for those security rule violations.

14:00
Ryan Holzhausen (University of Florida, United States)
Tasnuva Farheen (University of Florida, United States)
Morgan Thomas (University of Florida, United States)
Nima Maghari (University of Florida, United States)
Domenic Forte (University of Florida, United States)
Laser Fault Injection Vulnerability Assessment and Mitigation with Case Study on PG-TVD Logic Cells
PRESENTER: Tasnuva Farheen

ABSTRACT. A physical attack on a secure device can leak sensitive data, which can have severe consequences for an individual, company, or government. Research today focuses on identifying hardware vulnerabilities and designing countermeasures to increase security. One such countermeasure is the implementation of the camouflaging gate called PG-TVD, which ensures protection against reverse engineering and side-channel attacks. However, proper investigation is needed to determine if the countermeasure opens the door to other powerful attacks, such as laser fault injection attacks. Identifying the vulnerability against this attack requires a proper assessment. As the first attempt to understand laser sensitivity in PG-TVD, we develop a workflow for assessing a circuit layout's sensitivity to LFI. We use this workflow to analyze the PG-TVD, giving the laser-sensitive areas. Depending on the information obtained by our workflow, we propose, design, and simulate a mitigation scheme that mitigates the laser sensitivity in PG-TVD logic cells by 83%.

14:30
Eduardo Ortega (Duke University, United States)
Tyler Bletsch (Duke University, United States)
Biresh Joardar (University of Houston, United States)
Jonti Talukdar (Duke University, United States)
Woohyun Paik (Duke University, United States)
Krishnendu Chakrabarty (Arizona State University, United States)
Simply-Track-And-Refresh: Efficient and Scalable Rowhammer Mitigation
PRESENTER: Eduardo Ortega

ABSTRACT. Rowhammer is a memory vulnerability that can compromise system-level security. Rowhammer occurs when a DRAM row is accessed repeatedly, potentially causing bit-flips for neighboring rows. The threshold for Rowhammer has decreased from 139K accesses in 2014 to 3.2K in 2022. This threshold is projected to decrease further. Many existing solutions are not scalable, incur high overhead, or fail to offer protection in realistic scenarios. We propose Simply-Track-And-Refresh (STAR) as an effective and scalable Rowhammer mitigation. We compare STAR’s performance overhead to recent solutions, HYDRA and AQUA. At ultra-low thresholds (500), STAR introduces 9.5x/31.7x lower average execution time overhead than HYDRA/AQUA. In addition, STAR introduces up to 4.3x lower area overhead and up to 3.3x lower power consumption compared to HYDRA and AQUA. We present proof of correctness, area and power consumption results derived using CACTI, and evaluation results from the PARSEC, SPLASH-2, SPEC2006, SPEC2017, and PAMPAR benchmark suites.

13:30-15:00 Session B6: Post Silicon 2
Chair:
Peilin Song (IBM, United States)
13:30
Bharath Nandakumar (Cadence Design Systems, India)
Sameer Chillarige (Cadence Design Systems, India)
Brian Archer (Cadence, United States)
Low cost production scan test for compression based designs
PRESENTER: Brian Archer

ABSTRACT. In silicon bring-up and yield analysis of complex ICs, such as those used in artificial intelligence (AI) and automotive applications, debug and diagnosis are crucial components. Reducing turn-around time for testing each IC increases profitability. This paper discusses a production scan pattern generation approach for designs with test compression that reduces scan channel load and unload times, among other savings, thereby reducing costs of testing. Results show upto 500X reduction in cycle count on industrial designs.

14:00
Ching-Min Liu (National Chiao Tung University, Taiwan)
Chia-Heng Yen (National Chiao Tung University, Taiwan)
Shu-Wen Lee (National Chiao Tung University, Taiwan)
Kai-Chiang Wu (National Chiao Tung University, Taiwan)
Mango Chao (National Chiao Tung University, Taiwan)
Enhancing Good-Die-in-Bad-Neighborhood Methodology with Wafer-Level Defect Pattern Information
PRESENTER: Ching-Min Liu

ABSTRACT. In semiconductor manufacturing processes, there are several causes of typical defects in silicon wafers, such as operational flaws or equipment malfunctions, which may lead to circuit failure and defective products. Therefore, testing is instrumental in improving overall yield and reliability. GDBN (good die in bad neighborhood) is an widely-used technique of rejecting potentially defective wafers in advance based on the concept that defects tend to cluster together. However, previous studies related to GDBN are limited to a local observation by using a narrow-sighted window and thus ignore the defects patterns of the wafers. In this paper, by leveraging information of wafer defect patterns and extending the observation range to the entire wafer, we strengthen the GDBN method to recognize the potentially defective dice more effectively based on the feature of the different defect patterns. The method proposed in this paper is realized by convolutional neural network technology, and it is also the first method to consider defect patterns of wafers as features to solve the problem of GDBN. Several experiments are conducted on a real-world WM-811K dataset, and the results show that our proposed method not only reduce the cost of return merchandise authorization (RMA) but the DPPM (Defective Parts Per Million) more significantly over other existing methods.

14:30
Seyedehmaryam Ghasemi (Karlsruhe Institute of Technology, Germany)
Sergej Meschkov (Karlsruhe Institute of Technology, Germany)
Jonas Krautter (Karlsruhe Institute of Technology, Germany)
Dennis Gnad (Karlsruhe Institute of Technology, Germany)
Mehdi Tahoori (Karlsruhe Institute of Technology, Germany)
Enabling In-field Parametric Testing for RISC-V Cores

ABSTRACT. Recently, RISC-V processors have been proposed in domains with high demand on functional safety, such as autonomous driving or medical devices. Therefore, enabling in-field test and measurement methods to ensure correct functionality over the entire chip lifecycle has become a necessity. We propose an ISA extension for RISC-V cores to enable on-chip telemetry for software-controlled in-field parametric testing. Moreover, we introduce a so-called Path Transient Monitor (PTM), which is connected to the critical path of the core. Through custom instructions, the PTM is able to measure output transients with a timing resolution 1000 times (~11.5 ps) higher than the rated clock period (few ns) of the RISC-V core, allowing thorough assessment of the device health state. As a case study, we implement our proposed setup as an FPGA-based hardware prototype and investigate the impact of process and design variation, temperature, and input data, to evaluate the collected sensor data.

13:30-15:00 Session C6: Will Silent Data Errors give a new lease on life to Semiconductor Test?

Silent Data Errors (aka Silent Data Corruption) has garnered significant attention in the last couple of years emerging from relative obscurity over the previous two decades. At ITC 2022, there was near unanimous agreement in the Test community that the topic of Silent Data Errors (SDE) is one of the biggest test challenges now and in the foreseeable future. Most of the focus of the Test Community in the past has been on achieving extremely high test quality at time t=0, relying on extensive DFT methodologies and design rule checks to achieve high test coverage coupled with advanced ATPG techniques to minimize test escapes and field returns.

With wide-spread deployment of leading-edge semiconductor products in high-dependability and mission critical applications that also have a long field life any latent defects, test escapes, design marginalities, and aging related degradation may result in SDEs. The panel will address and discuss the following questions related to SDEs.

  • What are the primary contributors of SDE – test escapes, design marginalities, design bugs, software bugs, or aging related degradation? What are we learning from the RMA parts?
  • Is the quality of test (time t=0 testing) good enough today? What is missing? How can test quality be improved?
  • If one wants to use a wide variety of advanced defect models to improve test quality, the number of test patterns is going to explode. How to deal with ever-increasing number of test patterns without affecting the test cost?
  • Does SDE present an opportunity to the Test community to look at issues well beyond time t=0, and bring in new innovations and technologies into the mainstream and give a new lease of life to Semiconductor Test?

This panel of experts from diverse field will bring in fresh insights to this emerging topic and engage with the audience in a lively discussion of the challenges and opportunities that SDE presents to the Test community.

Moderator: Anne Meixner (Semiconductor Engineering)

Panelists:

    1. Sandeep Bhatia (Google)
    2. Sankar Gurumurthy (AMD)
    3. David P. Lerner (Intel)
    4. Jennifer Dworak (SMU)
    5. Janusz Rajski (Siemens DISW)
    6. Noam Brousard (proteanTecs)
Commentary:
Vivek Chickermane (Siemens DISW, United States, United States)
Nilanjan Mukherjee (Silicon Lifecycle Solutions, Siemens Digital Industries Software, Wilsonville, Oregon, United States)
13:30-15:00 Session D6: ITC India Best Papers
Chair:
Charles H.-P. Wen (National Yang Ming Chiao Tung University, Taiwan)
13:30
Sarthak Singhal (Cadence Design Systems, India)
Ankit Bandejia (Cadence Design Systems, India)
Krishna Chakravadhanula (Cadence Design Systems, United States)
Mohan Gandla (Cadence Design Systems, United States)
Archana Vyas (Cadence Design Systems, India)
Christos Papameletis (Cadence Design Systems, United States)
Subhasish Mukherjee (Cadence Design Systems, India)
Dale Meehl (Cadence Design Systems, United States)
Power Domain Aware DFT Implementation

ABSTRACT. Power awareness has become an important dimension for recent advances in VLSI. Multiple functional power domains (PD) are forcing Design-for-Test (DFT) designers to adjust DFT insertion and implementation to comply with IEEE 1801 correctness. Several challenges emerge for DFT tools and engineers, including but not restricted to a) additional low power cells inserted & crossings created due to DFT connections, b) power aware scan chain connection with optimal scan wirelength, and c) ensuring Unified Power Format (UPF) correctness post DFT insertion. This paper describes power domain aware DFT techniques for core wrapping, heterogenous fanouts and PD fencing aware scan chain stitching. A correct by construction approach results in fewer low power cells, improved scan wirelength & fewer IEEE 1801 rule violations. The EDA automation demonstrated in this paper reduces the manual overhead for chip designers to ensure power correctness of the design after DFT implementation

14:00
Wilson Pradeep (Google, United States)
Nikhil Karkare (Google, India)
Bridging Repairability Gaps in Shared Bus Architecture with Shared Physical Memory Implementation
PRESENTER: Wilson Pradeep

ABSTRACT. To achieve best-in class power performance & area (PPA), today's complex processor cores with shared bus architecture typically use single physical memory (PM) across multiple logical memories (LM) to improve overall design efficiency. However, such an implementation imposes several limitations on enabling redundancy and repair support using on-chip memory test, resulting in a degraded repair coverage thereby impacting yield. In this paper, we propose a few novel strategies to seamlessly enable redundancy sharing across LMs shared by a single PM to mitigate the limitation with conventional approaches. Additional schemes are proposed to resolve potential conflict conditions that could arise as a result of this redundancy sharing. The experimental results by using the proposed solutions on two sample clusters on a large-scale design using shared bus architecture bridged a gap of 5-6% drop in repair coverage due to the shared physical memory implementation.

16:00-18:00TTTC Workshops