ITC2020: INTERNATIONAL TEST CONFERENCE 2020
PROGRAM FOR THURSDAY, NOVEMBER 5TH
Days:
previous day
all days

View: session overviewtalk overview

10:00-11:00 Session Plenary3: Plenary - Keynote and Visionary Talk

Keynote (30 minutes)

Title: 50 years of ITC! Now what?

Last year we collectively celebrated the 50th International Test Conference. After 50 years it’s tempting to say that everything’s been done, or conversely to look ahead to a glorious but only vaguely specified future. As test professionals, we know that better analysis of existing data can help us to identify and respond to future challenges. Today’s challenges include a slowing of Moore’s Law coupled with an ever-increasing appetite for data and analytics. Security and privacy concerns abound. Machine learning gives fast answers but few reasons. New technologies are emerging and bringing new test challenges with them. This talk takes stock of where we are and how we got here, and then focuses on where we might go next and why.

About The Speaker:

Rob Aitken is an ARM Fellow and technology lead for ARM Research. He is responsible for technology direction of ARM research, including identifying disruptive technologies, monitoring the global technology landscape, and coordinating research efforts within and outside of ARM. He has worked on test and related topics for 35 years, and is a former general chair and program chair of ITC. He has published over 100 technical papers, on a wide range of topics. He holds over 40 US patents.  Dr. Aitken joined ARM as part of its acquisition of Artisan Components in 2004. Prior to Artisan, he worked at Agilent and HP.  He is an IEEE Fellow and holds a Ph.D. from McGill University in Canada.

--------------

Visionary Talk: (30 minutes)

Ttitle: A landscape for dependable autonomous machines

By Riccardo Mariani, VP Industry Safety, Nvidia

11:00-11:30Social/Exhibit Hall
11:30-12:30 Session 5A: Best Practices in Safety (Automotive Track)
11:30
Stress, Test, and Simulation of Analog IO Pads on Automotive ICs
PRESENTER: Chen He

ABSTRACT. In this paper, we will present our HVST methodology on analog IO pads, as well as reliability simulators to help assess the stress coverage and aging effect on analog IOs. Silicon results on 16nm FinFET automotive microprocessor are discussed to demonstrate the effectiveness and efficiency of our methodology.

11:50
Quick Analyses for Improving Reliability and Functional Safety of Mixed-Signal ICs
PRESENTER: Stephen Sunter

ABSTRACT. This paper shows how measuring the percentage of circuit elements subjected to sufficient stress during testing, especially across thin oxides, can be a criterion for reliability improvement of automotive ICs. The paper also shows how to more accurately compute a circuit’s ISO 26262 metrics by basing defect likelihoods on activity.

12:10
On the Measurement of Safe Fault Failure Rates in High-Performance Compute Processors
PRESENTER: Richard Bramley

ABSTRACT. Accurate determination of the safe-fault failure rate of complex digital designs is an exascale problem. We present a novel measurement methodology and results which could have a profound impact on the performance and availability for GPUs in safety critical systems. We extend our analysis with a methodology for in-the-field estimation.

11:30-12:30 Session 5B: Diagnosis & Repair
11:30
A Learning-Based Cell-Aware Diagnosis Flow for Industrial Customer Returns
PRESENTER: Patrick Girard

ABSTRACT. In this paper, we propose a new framework for cell-aware defect diagnosis of customer returns based on supervised learning. The proposed flow indistinctly deals with static and dynamic defects that may occur in real circuits. A Naive Bayes classifier is used to precisely identify defect candidates.

11:50
Logic Fault Diagnosis of Hidden Delay Defects
PRESENTER: Stefan Holst

ABSTRACT. Hidden delay defects (HDD) are an important indicator of possible early-life failures. Faster-than-at-Speed Testing (FAST) screens for HDDs by observing outputs of sensitized non-critical paths. We present the very first fault diagnosis approach that is able to locate HDDs by analyzing FAST fail-logs. Experiments shows its performance and scalability.

12:10
Fail Memory Configuration Set for RA Estimation
PRESENTER: Hayoung Lee

ABSTRACT. This paper presents a fail memory configuration set for RA estimation, called as ITC’2020 RA Benchmarks. It enables objective RA estimations with respect to efficiency. The fail memory configuration set includes memory models with various redundancies and a fault generation algorithm with fault distribution which can be criteria for comparisons.

11:30-12:30 Session 5C: Fault Modeling and DFT (Short papers)
11:30
New Perspectives on Core In-field Path Delay Test

ABSTRACT. This work compares structural and functional approaches for the test of path delay faults in microprocessors. Typical test programs developed for in-field testing of stuck-at faults are evaluated using an ad-hoc framework built on top of commercial fault simulators, thus offering the possibility of improving their fault coverage.

11:45
A Unified Method of Designing Signature Analyzers for Digital and Mixed-Signal Circuits Testing
PRESENTER: Vadim Geurkov

ABSTRACT. We present a generic method for designing algebraic/arithmetic signature analyzers. The aliasing rate is estimated. The design technique is valid for an arbitrary number system. The proposed devices have low hardware complexity and low aliasing rate. The technique can also be used in error-control coding, cryptography and digital communication.

12:00
Selecting Close-to-Functional Path Delay Faults for Test Generation

ABSTRACT. This paper describes a path selection procedure whose new feature is to consider the extent to which target path delay faults can be activated during functional operation in addition to the path length and detectability.

12:15
Flip-flops fanout splitting in scan designs

ABSTRACT. This paper presents a method of flip-flops fanout splitting by register replication in order to reduce test application time during an ATPG. Experimental results on several IP-designs show that the proposed method reduces test time up to 2 times with hardware costs not exceeding 2.5% of design area.

11:30-12:30 Session 5D: ITC-Asia 2020 Top 3 Papers
11:30
Prediction of Test Pattern Count and Test Data Volume for Scan Architectures under Different Input Channel Configurations

ABSTRACT. Two efficient methods to predict test pattern count and data volume with different input channel counts are presented. Experimental results show that the average error rates are less than 10%. Both methods can reduce the total ATPG time by more than 10X compared to currently used trial-and-error method.

11:50
A Deep Learning-Based Screening Method for Improving the Quality and Reliability of Integrated Passive Devices

ABSTRACT. Based on the parametric data of 360,000 IPDs collected from the wafer probing test, the proposed SQnet is trained to predict the IPDs which have low reliability. Keeping the overkill rate below 10%, our method can screen out 6 to 15X more bad dies than the existing industrial methods.

12:10
Knowledge Transferring for Diagnosis Outcome Preview with Limited Data

ABSTRACT. Diagnosis outcome can be predicted from the data collected from failing ICs, but sufficient training data is necessary for an accurate model. We construct a prior model from a correlated dataset and adapt it to limited training samples. The method can save training data when a suitable prior knowledge exists.

12:30-13:00Social/Exhibit Hall
13:00-14:00 Session 6A: Quality Test & Analysis (Automotive Track)
13:00
Test and Diagnosis Solution for Functional Safety

ABSTRACT. This paper discusses the automotive requirements of ISO 26262 standard and its implication on conventional test and diagnosis flows. It presents a safety-oriented manufacturing test and diagnosis solution meeting automotive system-on-chip (SoC) requirements. The implementation details, automotive features and experimental results are described showing the effectiveness of the proposed solution.

13:20
Wafer Level Stress: Enabling Zero Defect Quality for Automotive Microcontrollers without Package Burn-In
PRESENTER: Chen He

ABSTRACT. In this paper, we present a new wafer level stress methodology consisting of enhanced High Voltage Stress Test (eHVST), Wafer Level Burn-In (WLBI), and enhanced Advanced Outlier Limit (eAOL) screens, which can achieve Zero Defect quality for automotive microcontrollers without package BI.

13:40
Concurrent Error Detection in Embedded Digital Control of Nonlinear Autonomous Systems Using Adaptive State Space Checks
PRESENTER: Md Momtaz

ABSTRACT. We propose the use of adaptive time-varying checks on linearized state space representations of nonlinear systems for detecting errors in sensors, actuators and control software of autonomous systems in real time. The approach incurs small memory and computation overhead and is experimentally validated on quadcopter and automotive steer-by-wire systems.

13:00-14:00 Session 6B: DFT for Complex Systems
13:00
X-Tolerant Tunable Compactor for In-System Test
PRESENTER: Janusz Rajski

ABSTRACT. The paper presents maXpress – an X-tolerant programmable compactor deploying a new scan chain selection mechanism capable of completely (as required by in-system test applications) masking X states within redefinable groups of scan chains and designated scan shift cycles. The paper also proposes an algorithm to automate maXpress control settings.

13:20
Streaming Scan Network (SSN): An Efficient Packetized Data Network for Testing of Complex SoCs
PRESENTER: Mark Kassab

ABSTRACT. SSN is a bus-based scan data distribution architecture for SoCs. Enables simultaneous testing of any number of cores even with few IOs, fast test time, scalable identical core handling, with simplified planning and physical design.

13:40
At-speed DfT Architecture for Bundled-data Design

ABSTRACT. This work explores an at-speed testing approach for bundled-data circuits, targeting the micropipeline design. By adding extra controllability points in the controllers and taking advantage of scan-chain structures, this work targets to generate/stall tokens in pre-defined parts of the circuit, enabling circuit verification through available scan chains.

13:00-14:00 Session 6C: ITC-India Best Paper Presentations

ITC-India 2020 Best Paper Presentation Session:

Best Paper:

6C.1: Analyzing Fault Tolerance Behaviour in Memristor-based Crossbar for Neuromorphic Applications

Presented by, Dev Narayan Yadav received the M.Tech degree in Computer Science and Engineering from National Institute of Technology Meghalaya, India, in 2018. He is currently pursuing his Ph.D. in the Department of Computer Science and Engineering at the Indian Institute of Technology Kharagpur, India. His research interests include memristor and its application in logic design and machine learning.

Honorable Mention:

6C.2: Wavelet Transform based fault diagnosis in analog circuits with SVM classifier

Presented by, Supriyo Srimani received a Bachelor of Electronics and Communication Engineering degree from West Bengal University of Technology, India, in 2013, Master degree in VLSI Design from Calcutta University, India, in 2015. He currently is pursuing his Ph.D. from Indian Institute of Engineering Science and Technology, Shibpur. His current areas of research interest are Analog and Mixed-Signal Circuit Design and Testing.

6C.3: Validating and Characterizing a 2.5D High Bandwidth Memory Sub-System

Presented by, Sreeja Menon is Senior Principal Engineer - Architecture at Rambus Chip Technologies, India Design Center. She has 15+ years of experience working on architecture and design of digital controllers and PHYs. In her current role, she works on architecture of DDR, HBM and GDDR memory PHY families and the test platforms for the validation and characterization of the same. She has received her Bachelor's degree in Applied Electronics and Instrumentation Engineering from University of Kerala, India.

13:00-14:00 Session 6D: Learning & Data Analysis (IP papers)
13:00
Automated Socket Anomaly Detection through Deep Learning
PRESENTER: Nidhi Agrawal

ABSTRACT. A Deep Learning application for the detection of defective tester sockets. The proposed methodology relies on images like those used for manual inspection, that are commonly collected using AOI equipment. This work represents a practical example of the use of Machine Learning for achieving improved inspection-quality outcomes at lower cost.

13:15
TestDNA-E: Wafer Defect Signature for Pattern Recognition by Ensemble Learning

ABSTRACT. We propose a machine learning based method targeted for accurate wafer defect map classification. The proposed method is referred to as TestDNA-E, as it applies ensemble learning based on improved TestDNA features. Experimental results show that the proposed method achieves high hit rate for each defect type and overall accuracy.

13:30
Machine Learning based Performance Prediction of Microcontrollers using Speed Monitors
PRESENTER: Riccardo Cantoro

ABSTRACT. Testing electronic devices for well-known fault models is not sufficient for effective performance screening. We propose a complete methodology, from the extraction of reliable measures, through a machine-learning algorithm, down to post-processing steps to characterize the production data for quality. Experimental results demonstrate the feasibility of the proposed solution.

13:45
Using Volume Cell-aware Diagnosis Results to Improve Physical Failure Analysis Efficiency
PRESENTER: Huaxing Tang

ABSTRACT. We propose a method which combines RCAD analysis and systematic cell information for volume diagnosis results for efficient yield learning and PFA process. The proposed method was validated on real silicon data from an advanced FinFET technology and successfully identified a systematic cell internal issue with dramatically improved PFA efficiency.

14:00-14:30Social/Exhibit Hall
14:30-15:30Exhibit Presentations