TALK KEYWORD INDEX
This page contains an index consisting of author-provided keywords.
1 | |
1149.1 | |
1687.1 | |
3 | |
3D Design for Test | |
3D IC test | |
3D/2.5D Test | |
A | |
adaptability | |
Adaptive voltage scaling | |
ADC | |
ADC testing | |
advanced board test | |
alternate tests | |
Analog | |
analog DFT | |
analog fault model | |
Analog test | |
Analog-to-Digital Converter | |
Analogue Test Bus | |
Analytical Test | |
analytics | |
Anomaly detection | |
APDL | |
aperture jitter | |
assembled PCB test | |
Asymmetric DJ Model | |
At-speed Test | |
ATE | |
ATPG | |
Automatic test pattern generation | |
Automation | |
Automotive electronics test | |
Automotive parts | |
Automotive self-test | |
B | |
benchmark | |
BER | |
BIST | |
Bit Error Rate (BER) Curve Estimation | |
bit-error rate | |
bounded model checking | |
bridge fault | |
bridging faults | |
broadside tests | |
built-in self-repair (BISR) | |
Built-in Self-Test | |
built-in self-test (BIST) | |
Built-In-Self-Test | |
C | |
Calibration | |
changepoint detection | |
Clock Domain aware test | |
Clock Grouping | |
clock jitter | |
Clock Sequencing | |
Clock Skew | |
cluster commonality | |
cluster detection | |
COGO | |
Cold Test Elimination | |
comparator network | |
Compressed Production test set | |
Concolic execution | |
controllability | |
Convolution Compressor | |
core router system | |
Cost Of Test | |
critical area | |
Cross-correlation | |
crosstalk | |
Customer return | |
Cycle-accurate simulation | |
D | |
Data Analysis | |
data converter | |
data driven | |
data mining | |
data retention | |
Data-Parallel Timing Simulation | |
Defect based test for analog | |
defect diagnosis | |
defect level | |
Defect screening | |
defect-oriented test | |
Delay correlation | |
Delay Fault Testing | |
delay faults | |
delay test | |
delay test coverage | |
Design for manufacturability | |
design for test | |
Deterministic Jitter (DJ) | |
DFT | |
DRAM refresh | |
DRAM reliability | |
Dual-edge Triggered Flip-flop | |
dynamic errors | |
E | |
EDT | |
embedded clock | |
embedded instruments | |
embedded statistics | |
energy efficiency | |
error tolerance | |
F | |
Fast Trim | |
fault diagnosis | |
fault isolation | |
fault model | |
fault simulation | |
flat physical layout | |
FPGA | |
Free Running Clock | |
Fucntional Test Generation | |
functional test | |
G | |
GPGPU | |
H | |
Hardware Security | |
Hardware Trojan | |
Hardware Trojans | |
Hierarchical | |
hierarchical clustering | |
hierarchical designs | |
hierarchical DFT methodology | |
High resolution ADC testing | |
high speed data links | |
I | |
IC piracy | |
ICL | |
ICL/PDL | |
IEEE 1149.1 | |
IEEE 1687 | |
IEEE1149.1 | |
IJTAG | |
IJTAG router | |
IJTAG.1 | |
In-Situation Test | |
In-System Test | |
infant mortality | |
Information Flow Security | |
Inking | |
interconnect test | |
Inverse Temperature Dependence | |
IP manufacturability | |
IR-Drop | |
J | |
Jitter Analysis | |
jitter decomposition | |
JTAG | |
L | |
latent defects | |
Layout pattern classification | |
layout-aware | |
layout-aware scan diagnosis | |
LBIST | |
linear regression | |
Logic Characterization Vehicle | |
Low power scan | |
Low-Dropout Regulator | |
LSIB | |
M | |
Manufacturing Test | |
marginal faults | |
MBIST | |
memory diagnosis | |
Memory test | |
methodology | |
Micro-void | |
MIMO | |
Mission-Mode Test | |
mixed-signal test | |
moving average | |
Multiple chain diagnosis | |
N | |
NAND flash | |
Network-on-chip | |
no fault found | |
noise | |
non-coherent sampling | |
non-intrusive test | |
O | |
on-line testing | |
online testing | |
Outlier analysis | |
Outlier Screens | |
P | |
P1687.1 | |
Parallel IJTAG | |
Partial Dynamic Reconfiguration | |
Pass through a level to reach another | |
path delay faults | |
Pattern Based Search | |
pattern recognition | |
PDL | |
Performance counters | |
physical candidates | |
Physical Closure | |
Physical Implementation | |
Physically-Aware | |
PMBIST | |
PMIC | |
POST | |
Power-On-Self-Test | |
Process monitor boxes | |
productivity gains | |
Programmable | |
Q | |
QMR | |
quality | |
R | |
Random Jitter (RJ) | |
reduced hits per code INL/DNL test | |
reliability | |
Reliability evaluation | |
resilient system | |
rule discovery | |
run-time | |
S | |
safety analysis | |
SCAN | |
Scan architecture | |
Scan based test | |
Scan Cell | |
scan chain faults | |
scan test | |
Scan-based test | |
security | |
self-repair | |
self-test | |
Shift-Error | |
Shmoo sliver | |
SIB | |
silicon debug | |
single-wire interface | |
SJTAG | |
skewed-load tests | |
small delay defects | |
SMT | |
SOC test | |
SoC yield | |
soft faults | |
Software-based self-testing | |
specifications testing | |
speed-limiting TDF | |
SSD | |
static test compaction | |
Statistical model | |
statistics | |
Subthreshold SoC | |
Switching Activity | |
symbiotic computing | |
symbiotic system | |
symbolic aggregation approximation | |
System test | |
systematic defects | |
systermatic defects | |
T | |
TEMS Characterization | |
Teradyne | |
Tessent SiliconInsight | |
Test at speed without adding redundant wrapper cells | |
test compaction | |
Test Compression | |
Test Cost | |
test cost reduction | |
Test Coverage | |
test data | |
test generation | |
Test optimisations | |
Test point insertion | |
Test Program | |
test reordering | |
test scheduling | |
test set quality | |
test set reordering | |
test strategy | |
Test time reduction | |
testing | |
time interval error | |
time series analysis | |
TMR | |
topographical | |
transients | |
Transition Delay Test | |
transition fault | |
Transition fault testing | |
transition faults | |
TSV test | |
Tuning | |
U | |
UltraFLEX | |
uniform random defects | |
USER-SMILE | |
V | |
volume diagnosis | |
W | |
wafer geographic | |
wafer map analysis | |
weighted fault coverage | |
with more than 2 physical nested hierarchies | |
Y | |
Yield | |
yield improvement | |
Yield Learning | |
yield limiters | |
Yield ramp |