TALK KEYWORD INDEX
      This page contains an index consisting of author-provided keywords.
| 1 | |
| 1149.1 | |
| 1687 | |
| 1687.1 | |
| 3 | |
| 3D/2.5D Test | |
| A | |
| access time minimization | |
| Active learning | |
| adaptive test | |
| Aging Reliability | |
| Analog | |
| analog AC test | |
| Analog ATPG | |
| Analog Circuits | |
| analog fault coverage | |
| analog fault simulation | |
| analog test | |
| Analog-to-Digital Converter | |
| Analog/RF | |
| Anomaly Detection | |
| ASIC | |
| ATE | |
| ATE OS | |
| ATE System | |
| ATPG | |
| Automated test Equipment | |
| Automatic | |
| Automatic Test Equipment | |
| Automatic test pattern generation | |
| Automatic test patterns generation | |
| automotive mixed signal | |
| B | |
| Benchmarks | |
| biclustering | |
| biochips | |
| board | |
| boundary scan | |
| bridge fault | |
| built-in self-repair | |
| Built-in self-test | |
| Burn In | |
| C | |
| C-testability | |
| cad | |
| Carbon Nanotube Field Effect Transistor (CNFET) | |
| Cell-aware diagnosis | |
| Cell-Aware Faults | |
| Cell-internal faults | |
| Channel Sharing | |
| Characterization | |
| Checking | |
| Compact Footprint | |
| compaction | |
| Computation Tree Logic | |
| Concurrent Error Detection | |
| concurrent testing | |
| connectivity test | |
| Contact Resistance | |
| Core Network | |
| Core Router System | |
| Cost-of-Testing | |
| Cost-of-Yield | |
| critical area | |
| cross-layer reliability | |
| crosstalk | |
| D | |
| Data | |
| Data Mining | |
| DDR | |
| DDR4 | |
| Debug | |
| defect modeling | |
| defect tolerance | |
| Defect-detection | |
| defect-oriented test | |
| Defect-oriented testing | |
| delay test | |
| delay testing | |
| Delta-Sigma | |
| Dependability | |
| dependable computing system | |
| design verification | |
| Design-for-Diagnosis | |
| Design-of-Experiments | |
| device interface board | |
| DFT | |
| Diagnosis | |
| Diagnosis with Compressor | |
| Diagnostic ATPG | |
| Diagnostic resolution | |
| Diagnostics | |
| Die Level Testing | |
| Digital microfluidics | |
| Digital-to-Analog Converter | |
| Discrete Chirp | |
| DPPM | |
| DRAM | |
| DSP-based testing | |
| DWA | |
| Dynamic Bandwidth Management | |
| Dynamic Part Average Testing | |
| E | |
| EDT | |
| Embedded Instruments | |
| extended value algebra | |
| External Loopback | |
| F | |
| Failure Analysis | |
| Failure isolation | |
| Failure Mapping | |
| faster-than-at-speed | |
| Fault Coverage | |
| Fault Distribution | |
| Fault Injection | |
| fault modeling | |
| fault simulation | |
| Fault Tolerance | |
| Fault transformation | |
| Fault-detection | |
| Fault-tolerance | |
| Fault-tolerant routing | |
| Feature Selection | |
| FEXT (far-end crosstalk) | |
| Filter | |
| FinFET technology | |
| FIR filter | |
| Fortuitous Detection | |
| FPGA | |
| FPGA Aging | |
| Function aware test pattern generation | |
| functional test and stress | |
| G | |
| GDDR5 | |
| Generation | |
| Group Delay | |
| H | |
| Hardware Design | |
| Hardware-in-the-loop simulation | |
| Hierarchical DFT | |
| high speed serial IO | |
| High-Power Testing | |
| High-Volume-Manufacturing Testing | |
| I | |
| I-Q Balance Test | |
| I-Q Signal | |
| I2C | |
| IC Stack-up | |
| IDDT and Current test | |
| IEEE 1149.1 JTAG | |
| IEEE 1500 Core Test | |
| IEEE 1500 Core Wrapper | |
| IEEE 1687 | |
| IEEE 1687 IJTAG | |
| IEEE Std. 1687 | |
| IEEE Test Standards | |
| IIR filter | |
| iJTAG | |
| Industrial control systems | |
| inline inspection | |
| Intelligent System | |
| IR drop | |
| ISO 26262 | |
| J | |
| JTAG | |
| K | |
| key logic circuit | |
| L | |
| Laser Voltage Probing | |
| layout analysis | |
| LCV | |
| Location Averaging | |
| Locking segment insertion bits (LSIBs) | |
| Logic BIST | |
| Logic built-in self-test | |
| low pin count test | |
| low power test | |
| LUT path delay | |
| M | |
| Machine Learning | |
| Machine-Learning Techniques | |
| magnetic coupling | |
| Matching Score | |
| Mean Downtime | |
| memory | |
| Memory BIST | |
| Memory Repair | |
| Memory Test | |
| Memory Test and Repair | |
| Micro-electrode-dot-array | |
| Microring resonator | |
| MISR | |
| Mixed Signal | |
| Mixed-Signal | |
| Mixed-Signal Circuits | |
| mixed-signal test | |
| model checking | |
| multi-site testing | |
| Multi-Tone | |
| Multiple fault models | |
| Multiple-core testing | |
| Multisite | |
| N | |
| NEXT (near-end crosstalk) | |
| NoC | |
| NOC testing | |
| Noise figure | |
| Nonlinear Control | |
| nonlinear source | |
| O | |
| on-chip networks | |
| On-chip test controller | |
| on-line monitoring | |
| Online Test | |
| open defects | |
| open-gate defect | |
| Outliers | |
| overkills/underkills | |
| P | |
| PARSEC | |
| part average testing | |
| path delay test | |
| pattern classification | |
| Pattern Retargeting | |
| pattern reuse | |
| Performance | |
| PFA | |
| Photonic | |
| Placement | |
| Post-Silicon Validation | |
| power analysis attack | |
| power delivery network | |
| power supply impedance | |
| Power-Aware BIST synthesis | |
| probe | |
| Probe-test flow | |
| Process Signature | |
| process variation prediction | |
| Process variations | |
| Process-aware defenses | |
| Program | |
| property coverage | |
| R | |
| RAM | |
| Real Time | |
| recycled FPGAs | |
| redundancy | |
| redundancy analysis | |
| Reliability | |
| reliability modeling | |
| Reliability Monitoring | |
| Resolution | |
| Resource_Pool | |
| retargeting | |
| retention | |
| Reuse LBIST | |
| RF | |
| RMA | |
| Routing | |
| S | |
| Scan chain storage | |
| Scan Design | |
| scan diagnosis | |
| Scan Shift | |
| Scan-based designs | |
| Scan-based testing | |
| security | |
| Self-Calibrating Systems | |
| Self-Calibration | |
| Self-Healing | |
| sequential compression | |
| SERDES | |
| Shadow Flops | |
| signal purity | |
| signature | |
| Silicon characterization | |
| Silicon Debug | |
| Silicon Diagnosis | |
| Silicon TV tuner | |
| Singulated Die Handling | |
| slack-time | |
| SoC | |
| SoC Test | |
| spatial pattern | |
| Specification | |
| spectral testing | |
| spin transfer torque magnetic random access memory(STT-MRAM) | |
| Stability | |
| Static Random Access Memory (SRAM) | |
| Statistical Analysis Techniques | |
| statistical post-processing | |
| symbolic canceling | |
| system | |
| System Level Test | |
| T | |
| template matching | |
| Test | |
| Test accuracy | |
| Test algorithms | |
| Test Automation | |
| Test chip | |
| Test Compaction | |
| Test compression | |
| Test cost | |
| test data analytics | |
| Test generation | |
| Test point insertion | |
| test reordering | |
| Test Standards | |
| Test Time | |
| Test-pattern generation | |
| Testability measures | |
| Tester | |
| Testing | |
| Time-Series Analysis | |
| timing failure threat | |
| Timing-Aware BIST synthesis | |
| Transient faults | |
| U | |
| unicast-based multicast | |
| upper bound computation | |
| V | |
| Validation | |
| Verification | |
| Voltage Screen | |
| volume analysis | |
| W | |
| weighted fault coverage | |
| Y | |
| Yield | |
| Yield learning | |