ITC 2019: INTERNATIONAL TEST CONFERENCE 2019
PROGRAM FOR TUESDAY, NOVEMBER 12TH
Days:
previous day
next day
all days

View: session overviewtalk overview

09:00-10:30 Session Plenary: Plenary, 1st Keynote and 1st Visionary Talk

Opening Session:

ITC General Chair: Yervant Zorian
ITC Program Chair: Mark Tehranipoor

------------------------------------------------------------------------------------------------------------------------------------------------------------------

Keynote:

Mike Campbell, Senior VP Of Engineering, Qualcomm

Visionary:

Dr. Aart de Geus, Chairman and co-Chief Executive Officer, Synopsys

For keynote and Visionary, please see ITC site for more information:

http://www.itctestweek.org/2019-keynote-and-visionary-talks/

13:00-14:00 Session KV2: 2nd Keynote and 2nd Visionary Talk

Keynote:

Serge Leef, Program Manager, DARPA

Visionary:

John Kibarian, President & CEO, PDF Solutions

For keynote and Visionary, please see ITC site for more information:

http://www.itctestweek.org/2019-keynote-and-visionary-talks/

14:00-15:00 Session Global: Global Forum Opening

Global Forum is a special show with the 50th-year ITC.

For more information, please see ITC web site: http://www.itctestweek.org/global-test-forum/

15:00-16:30 Session w1: Paper 1.#: Defect and Failure Characterization
Location: Washington 1
15:00
Characterization of Library Cells for Open-Circuit Defect Exposure: A Systematic Methodology
PRESENTER: Sujay Pandey

ABSTRACT. Characterization of digital library cells under a range of open defect sizes is investigated. The necessity of multi-pattern tests to expose certain defects is demonstrated via circuit simulation, and a faster switch-level approach is proposed.

15:30
FAE: Autoencoder-Based Failure Binning of RTL Designs for Verification and Debugging

ABSTRACT. As the Register Transfer Level (RTL) designs are more complicated, debugging becomes a major bottleneck in the design process. To make debugging more efficient, failure binning aims at grouping failure traces caused by the same error source together so that designers can focus on one bug at one time. However, as there are multiple bugs in a design, behaviors exhibited by failure traces are diverse and severely confuse designers. One error source may result in different appearances subject to different activation conditions. In addition, different error sources may also exhibit similar appearances among the limited number of failure traces. In this work, we propose an autoencoder-based failure binning engine name FAE for debugging RTL designs more efficiently. The autoencoders extract meaningful representations from the sparse and high-dimensional feature space to the latent space with good properties for clustering. Superior to prior works, FAE provides confidence ranks between bins and in a bin to clearly guide designers during debugging. Experimental results show that FAE can drive bins of higher purity under an acceptable number of bins than prior works, dropping only few less-informative failures. Evaluated by three common metrics for clustering, FAE also achieves averagely 13.1% improvement in purity, 25.0% improvement in NMI and 18.2% improvement in ARI, respectively. Most of all, we demonstrate that our method has good potential to conquer sparse and high-dimensional feature space which is common in EDA data. As a result, the proposed autoencoder-based engine, FAE, applies machine learning to extract useful information from diverse failure traces and is effective on failure binning with more focused debugging.

16:00
Compaction of a Functional Broadside Test Set through the Compaction of a Functional Test Sequence without Sequential Fault Simulation

ABSTRACT. Dynamic compaction approaches for scan tests are not applicable to functional broadside tests. Instead, this paper compacts a functional test sequence from which functional broadside tests are extracted. Compaction is performed without sequential fault simulation.

15:00-16:30 Session w2: Paper 2.#: System and Memory Test
Location: Washington 2
15:00
Knowledge Transfer in Board-Level Functional Fault Identification using Domain Adaptation
PRESENTER: Mengyun Liu

ABSTRACT. High integration densities and design complexity make board-level functional fault identification extremely difficult. Machine-learning techniques can identify functional faults with high accuracy, but they require a large volume of data to achieve high prediction accuracy. This drawback limits the effectiveness of traditional machine-learning algorithms for training a model in the early stage of manufacturing, when only a limited amount of fail data and repair records are available. We propose a diagnosis workflow that utilizes domain adaptation to transfer the knowledge learned from a mature board to a new board in the ramp-up phase. First, a metric is designed to evaluate the similarity between products, and based on the calculated value of the similarity, either a homogeneous or a heterogeneous domain adaptation algorithm is selected. Second, these domain adaptation algorithms utilize information from both the mature and the new boards with carefully designed domain-alignment rules and train a functional fault identification classifier. Three complex boards in volume production and one new board in the ramp-up phase are used to validate the proposed domain-adaptation approach in terms of the diagnosis accuracy.

15:30
Device-Aware Testing: A New Test Approach Towards DPPB
PRESENTER: Moritz Fieback

ABSTRACT. This paper introduces a new testing approach. It is based on incorporating the impact of physical defects on device electrical parameters before performing circuit/fault simulation. The approach is demonstrated both for RRAM and STT-MRAM.

16:00
IEEE Std. P1687.1: translator and protocol
PRESENTER: Erik Larsson

ABSTRACT. The IEEE Std. P1687.1 working group is currently exploring alternatives to IEEE Std. 1149.1 test access port (TAP) as the interface between the boundary of integrated circuits (ICs) and IEEE Std. 1687 networks. In this paper, we investigate the use of universal asynchronous receiver-transmitter (UART) to access IEEE Std. 1687 networks. We have developed a protocol to describe the information transported over UART and a hardware component to translate (retarget) information between UART and IEEE Std. 1687. The objective is to minimize the amount of information transported over UART and the area of the hardware component while maintaining the flexibility to access an arbitrary combination of instrument in the IEEE Std. 1687 network. We have developed software for the protocol translation, implemented the hardware component and IEEE Std. 1687 networks of different sizes in an field-programmable gate array (FPGA). For comparison, we developed a number of alternatives, all implemented on FPGA. The experimental results show that proposed scheme gives low overhead in terms of transported information (data) and low area of the hardware component.

15:00-16:30 Session w3: Security Track: Security Special Session #1: Hot Topics in HW Security

Organizer: Yousef Iskander, Cisco

Moderator: Yousef Iskander, Cisco

1. Title: FPGA Bitstream Security: A Day in the Life
    Adam Duncan,
Indiana University

2. Title: Challenges and opportunities in removing subjectivity in sensitive security testing
    Apostol Vassilev, NIST

3. Title: Is Backside a New Backdoor in Modern SoCs?
    Navid Asadi, UF

Location: Washington 3
15:00-16:30 Session w4: Panel 2: Panel: Perspective on the future of Hardware Security

Title: Perspective on the future of Hardware Security

Moderator: Saverio Fazzari, BAH

Panelists:

Matt Casto, OSD/MINSEC

Brian Dupaix, AFRL

Adam Sherer, Cadence

Sarah Leeper, Draper

Shawn Fetterolf, Synopsys

Mike Borza, Synopsys

Location: Washington 4
15:00-16:30 Session w5: AI Policy: AI Policy Special Session

Moderator/Coordinator: Mina Hanna, Synopsys

Sky Talk: Dr. Lynne Parker, Assistant Director for AI at the White House Office of Science and Technology Policy (OSTP) (30-minite talk)

Panelists:

Panelists:

- Dr.  Henry Kautz (Director of Intelligent Information Systems/Computer and Information Science and Engineering (CISE) Directorate at the NSF

- Dr. Dimitri Kusnezov, Deputy Under Secretary of AI and Technology at U.S. Department Of Energy

- Dr. Chuck Romine (Director of Information Technology Laboratory (ITL) at the National Institute of Standards and Technology

Chair:
Location: Washington 5
17:00-18:30 Session w1: IPP 1.#: Industrial Practice Papers
Location: Washington 1
17:00
IPP: Optimized Physical DFT Synthesis of Unified Compression and LBIST for Automotive Applications

ABSTRACT. Automotive designs require very high manufacturing test coverage as well as high quality self-test solutions. They are also constrained by limited test pins and cost of test. Test Compression and logic built-in self-test (LBIST) are proven DFT solutions to address the automotive test and safety requirements but their high impact to backend physical implementation can be a huge barrier to successful adoption. Unified compression is a new approach that unifies scan compression and logic built-in self-test (LBIST). It leverages recent innovations in Physical DFT Synthesis to solve routing congestion and area issues from traditional discrete approaches and paves the road to high-quality test. On a sample design, area savings of 35-47%, and scan wirelength savings of 63-77% for the same scan chain length can be achieved. Also, with the same area and wirelength budget as traditional implementations, the test application time can be reduced by half to reduce the overall cost of test for the same test coverage.

17:22
IPP: Advanced Burn-In – An Optimized Product Stress and Test Flow for Automotive Microcontrollers

ABSTRACT. Automotive microcontrollers demand extremely high reliability requirements. Burn-In (BI) stress has been proven to be effective to screen out early life failures via voltage and temperature acceleration and becomes a quality requirement for automotive electronics. However, as feature size continues to scale down, performing BI stress on packaged parts have started to run into challenges including increased risks of thermal runaway and overstress, together with continuously increased cost and cycle time. A more effective and efficient product stress and test flow to achieve better quality with less cost, namely, Advanced Burn-In (ABI), is presented in this paper. With enhanced wafer level stress and enhanced Advanced Outlier Limit (AOL) algorithms applied to wafer level parametric tests before and after the stress, ABI enables us to eliminate package BI on majority of parts on each wafer, which reduces cost and cycle time but more importantly improves quality at the same time. It has been successfully implemented on multiple automotive products at NXP with superb quality – e.g., on a large volume product, we have achieved unparalleled quality at 42 PPB (fail Parts-Per-Billion) with over 46 million parts shipped in last 3 years with ABI.

17:44
IPP: Applying Vstress and defect activation coverage to produce zero-defect mixed-signal automotive ICs
PRESENTER: Wim Dobbelaere

ABSTRACT. The emergence of assisted and autonomous vehicles not only increases the number of integrated circuits in new cars, but also drives the automotive IC industry into quality levels of 10 PPB and below. The test and design methodology currently used to develop automotive mixed-signal integrated circuits, however, is not sufficient to achieve this goal. In particular does it not allow to activate and detect all latent defects, which are a significant cause of vehicle failures in the field. This paper discusses whether full burn-in will be needed in order to meet the quality goal, or whether Vstress in combination with a defect coverage activation will do the job.

18:06
IPP: Application of Cell-Aware Test on an Advanced 3nm Technology Library
PRESENTER: Zhan Gao

ABSTRACT. Cell-aware test (CAT) explicitly targets realistic cell-internal manufacturing defects. The CAT flow from Cadence includes three steps, viz. (1) defect location identification, (2) defect characterization, and (3) cell-aware ATPG. This paper reports on the first-ever application of the CAT tool flow on an experimental standard-cell library in iN5, imec’s advanced 3nm CMOS technology node. We describe the innovations in this technology node with a bearing on CAT results. For the whole library, we reduce 73.5% defect count for defect characterization based on equivalence of defect effect on cells’ functionality. We also demonstrate the number of defect locations decrease in the iN5 cells compared with cells from the Cadence GPDK45 45nm library, but the reduced defect count for simulation is the same. Defect coverage of the iN5 cells is higher than the GPDK045 cells. Two algorithms are applied on defect-detection matrices (DDMs) in which the defect simulation results are encoded to optimize cell-aware ATPG results. A first algorithm identifies don’t-care bits for cell patterns to reduce the ATPG effort of generating a circuit test pattern. A second algorithm selects, at cell level, a subset of preferential patterns that jointly provide full fault-coverage at a minimized care-bit sum. The minimized numbers of care bits of both libraries are almost the same.

17:00-18:30 Session w2: Special 1: Special Session #1 - Standards in 50 years

Session Coordinator: Adam Cron (Synposys)

1. "1149.1: A Foundational Force in IEEE Test Standardization", Adam Ley (Asset-Intertech), Adam Cron (Synopsys)

2. "1500: the Common Infrastructure for SOCs", Erik Jan Marinissen (IMEC), Yervant Zorian (Synopsys)

3. "1450: A Family of Test Description Languages" Rohit Kapur (Cadence), Bernd Koenemann, Greg Maston

Chair:
Location: Washington 2
17:00-18:30 Session w3: Security Track: Security Special Session #2 - CAD for Security

1. Farimah Farahmandi,UF, Title: SoC Security Powered by Rules and Properties

2. Mike Borza, Synopsys, Title: CAD for Security – A Look Ahead for 5 Years and Beyond

3. Jason Oberg, Tortuga Logic, Title: Leveraging Commercial Simulation and Emulation Methodologies for Hardware Security Verification

Location: Washington 3
17:00-18:30 Session w4: Sky Talks: Sky Talks

1. Rob Aitken, Fellow, ARM, Title: Safety, security and resilience – can we test for all three?

2. Praveen Chawla, CTO and President, Edaptive Computing, Inc., Title: Malicious Implant Detection: From Devices to Systems

3. Praveen Vishakantaiah, Title: Testing the future: A Cloudy to Sunny forecast

Location: Washington 4
17:00-18:30 Session w5: ITC-Asia.#: ITC-Asia 2019 - Top 3 Papers

1. Towards Complete Fault Coverage by Test Point Insertion using Optimization-SAT Techniques
Stephan Eggersglüß ( Mentor, a Siemens Business, Germany) E-mail: Stephan Eggersglüß Stephan_Eggersgluess@mentor.com

2. Online Testing of Clock Delay Faults in a Clock Network
Chu Wei and Shi-Yu Huang (National Tsing Hua University) E-mail: Chu Wei chu840223@gmail.com Shi-Yu Huang syhuang@ee.nthu.edu.tw

3. An On-chip IEEE 1687 Network Controller for Reliability and Functional Safety Management of System-on-Chips
Ahmed Ibrahim and Hans Kerkhoff (University of Twente) E-mail: Ahmed Ibrahim A.M.Y.Ibrahim@utwente.nl Hans Kerkhoff H.G.Kerkhoff@utwente.nl

Chair:
Location: Washington 5