TALK KEYWORD INDEX
This page contains an index consisting of author-provided keywords.
1 | |
100 Gbps TCP/IP | |
2 | |
2-level mux | |
4 | |
4K | |
A | |
Acceleration | |
accelerator | |
Active Headrest | |
aggregation | |
Aging | |
AI | |
Alignment | |
ANC | |
application mapping | |
Approximate Computing | |
Approximate counting | |
arbiter PUF | |
architecture | |
arithmetic | |
Artificial Neural Networks | |
ASIC | |
ASIR | |
asP* handshake protocol | |
B | |
benchmark | |
big data | |
Bilateral Filter | |
Bilateral Grid | |
Bioinformatics | |
Bit-serial | |
Bitstream generator | |
C | |
cache hierarchy | |
cad | |
CAPI | |
carry chain | |
CGRA | |
Channel Decoding | |
Circuit Design | |
Classic McEliece | |
clock gating | |
Clock skew scheduling | |
clock-domain crossing (CDC) | |
CNN | |
CNN accelerator | |
co-design | |
Coarse-Grained Reconfigurable Array | |
Code Generation | |
Compiler | |
Computational Complexity | |
Compute Tomography | |
computer architecture | |
Computer Arithmetic | |
Computer vision | |
connected component labelling (CCL) | |
Continual learning | |
Convolution | |
Convolution neural networks | |
convolutional neural network | |
Convolutional Neural Networks | |
CPS | |
cross-correlation | |
Cryptographic Key | |
Cryptography | |
custom instructions | |
custom SIMD instructions | |
D | |
data converter | |
dataflow | |
Deep Learning | |
Deep Neural Network | |
Deep neural networks | |
Deep Reinforcement Learning | |
Denoising Filter | |
Design Automation | |
Design Methodology | |
Design Space Exploration | |
Digital hardware design | |
Digital Signal Processing | |
Distributed FPGA Applications | |
Distributed FPGAs | |
Distributed Systems | |
DNN compression | |
DPU | |
DSP Systems | |
DVFS | |
dynamic undervolting | |
Dynamic voltage and frequency scaling | |
E | |
E-learning | |
education | |
eFPGA | |
ElGamal Cryptosystem | |
Elliptic Curve Cryptography | |
Embedded | |
Embedded Hardware | |
embedded systems | |
Emerging memory technologies | |
Energy estimation | |
Error | |
F | |
Fast Constant-Time GCD Algorithm | |
fault diagnosis | |
Feedback FxLMS | |
FER | |
Field Programmable Gate Arrays | |
field programmable gate arrays (FPGA) | |
Field Programmable Gate Arrays (FPGAs) | |
field-programmable | |
field-programmable gate array | |
Fixed-point | |
Fixed-point Simulation | |
Flash controller | |
formal verification | |
FPGA | |
FPGA Acceleration | |
FPGA accelerator | |
FPGA Architecture | |
FPGA Implementation | |
FPGA mapping | |
FPGA Middleware | |
FPGA Network | |
FPGA overlay | |
FPGA SoC | |
FPGA tools | |
FPGA Virtualization | |
FPGAs | |
G | |
GCD | |
genomics | |
globally asynchronous locally synchronous (GALS) | |
GPU | |
graph sampling | |
GRU | |
H | |
Hardware | |
Hardware Acceleration | |
Hardware accelerator | |
Hardware Design | |
Hardware emulation | |
Hardware Library | |
Hardware Multiplier | |
hardware security | |
Hardware/Software co-design | |
HBM | |
HDL | |
Heterogeneous Computing | |
Heterogeneous platform | |
Heuristic | |
High level synthesis | |
high-level synthesis | |
High-Level-Synthesis | |
High-Speed implementation | |
HLS | |
Homomorphic Encryption | |
HW/SW Co-Design | |
Hypervisor | |
I | |
I/O Scheduling | |
Image Classification | |
Image Processing | |
Incremental learning | |
Integrate and Fire | |
Inter-variable dependency | |
Internet of Things | |
IoT | |
IoT-23 Dataset | |
L | |
LFSR | |
LiDAR | |
Lifelong deep learning | |
Light-weight implementation | |
Logging Framework | |
loop pipelining | |
Low-Power | |
LSTM | |
M | |
Machine Learning | |
Machine Learning Inference | |
majority-inverter gate representation | |
many-core architecture | |
memory access analysis | |
memory system | |
memory-hierarchy | |
micro-architecture | |
mixed precision | |
Mixed-Integer Linear Programming | |
MLP | |
MobileNet | |
Model-Based | |
Model-checking | |
modeling attack | |
Modular Inverse | |
MPI | |
MPSoC | |
Multi-FPGA Systems | |
Multiple Channels | |
multipliers | |
N | |
NAND Flash | |
NAS | |
Negotiation-Based Routing | |
Network flow measurement | |
Network-attached Accelerator | |
networks-on-chip (NoC) | |
Neural Architecture Search | |
Neural Network | |
Neural Networks | |
Neuromorphic Computing | |
NoC | |
non-maximum suppression | |
NVM | |
O | |
Object detection | |
OmpSs@FPGA | |
Online learning | |
Open-source | |
open-source hardware | |
OpenCL | |
optical time-domain reflectometry | |
Overlay | |
P | |
Parallel Architectures | |
Parallelism | |
Partial Reconfiguration | |
performance | |
Performance Monitoring | |
Physical design | |
PointPillars | |
Post-Quantum Cryptography | |
Power Efficiency | |
power estimator | |
power gating | |
Power-aware system architecture | |
prefix scan | |
Printing in FPGA | |
Privacy Preserving Computation | |
probabilistic data structure | |
Processor array | |
protein identification | |
PUF | |
PYNQ | |
Q | |
QAM Demodulation | |
Quantization | |
Quantum | |
R | |
radio | |
random walk | |
Real-Time | |
Real-time operating system | |
real-time video processing | |
Recommendation System | |
reconfigurable | |
reconfigurable computing | |
Reconfigurable Hardware Design | |
reconfiguration | |
Reliability | |
Resource constrained devices | |
RFSoC | |
RISC-V | |
Robotics | |
Roofline | |
Routing | |
routing architecture | |
RSA | |
Runtime | |
RVfpga | |
RVfpga-SoC | |
S | |
SAT solver | |
Security | |
Shor | |
Siamese neural network | |
SIMD | |
Sketch | |
SoC | |
Soft Core | |
soft GPU | |
softcore | |
sorting | |
sparsity | |
specialization | |
spectrum analysis | |
Spiking Neural Networks | |
stream-processing | |
streaming | |
Streaming learning | |
Swarm Verification | |
SweRV | |
SweRVolf | |
Switch Blocks | |
synchronization | |
synchronizing FIFO | |
Synopsys | |
System-On-Chip | |
systolic array | |
T | |
template | |
time-variant obfuscation | |
Timing Analysis | |
TOPs | |
tracking | |
U | |
UHD | |
undervolting | |
V | |
VHDL | |
Virtual Machine | |
Vitis | |
W | |
weight pruning | |
WFA | |
X | |
Xilinx | |
Z | |
Zynq UltraScale+ MPSoC |