DSD2021: EUROMICRO CONFERENCE ON DIGITAL SYSTEMS DESIGN 2021
TALK KEYWORD INDEX

This page contains an index consisting of author-provided keywords.

4
4K
5
5G Security
A
Acceleration
ADAS/AD
Aging modeling
AIOPS
anomaly detection
Apollo
Applet
Application characterization
Application Deployment
Approximate Circuits
Approximate Computing
Artificial Intelligence
artificial intelligence of things
ASIC
ASIL
asynchronous
asynchronous circuits
attacks
Automata
Automotive domain
Autonomous driving
AUTOSAR
avalanche properties
B
Battery discharge simulation
Battery state-of-charge
Binary neural networks
Binary nonlinear codes
Biochemical analysis
Biological system modeling
BIST
brake-by-wire controllers
BRIEF
Building HVAC system
C
Cache Metrics
Cache Simulator
CAN
CANOpen
Cartesian Genetic Programming
CGRA
CGRAs
Characterization
Checkpointing
Circuit models
Clang
Clifford+T circuits
clique cover number
clustering
Co-processor
Coarse-grained reconfigurable architectures
Coarse-grained reconfigurable arrays
coded modulation
Combinational logic
comfort
Communication Abstraction
comparative analysis
Computing
Confidentiality
connected component labelling (CCL)
Connectivity
Constraint programming
Continuous System Engineering
controlled collisions
Convolutional Neural Networks
cooperative driving/braking
CPS4EU Project
Cryptographic Extension
Cryptography
Cyber security
Cyber-Physical Systems
D
data compression
Data driven models
data processing
Data-driven method
Debugging
decomposition
deep learning
Deep Neural Networks
Deep optical flow
Deep Reinforcement Learning
Deformable shape tracking
dependability
depth estimation
Design and synthesis of systems
design automation
Design for testability
design space exploration
detection
DevOps
dilution
Disjoint Sum-of-Products
Distributed Embedded Applications
Distributed System
DPR Schedulability Analysis
Driver state
Drone/UAV
DTLS
dynamic delay models
Dynamic Partial Reconfiguration
E
ECS
Edge processing
Eigen
Electronic Design Automation
embedded software
embedded systems
Emergency braking
Energy Distribution
energy efficiency
Ensemble machine learning
eSIM
eUICC
Evolutionary Resynthesis
evolutionary-techniques
external memory protection
F
Face alignment
Fail-Operational
faithful digital timing simulation
Farrow structure
FAST
Fault Attacks
fault injection
Fault maps
Fault models
Fault Tolerance
Fault Tolerance Property Estimation
fault-tolerance assessment
Fault-Tolerant System Design
field-programmable gate array
fog computing
Formal Methods in System Design
Formal Verification
FPGA
FPGA overlay
fractional delay filters
Framework
Functional Blocks
FUSION
G
Generic Framework
glitch propagation
Graph theory
H
Hardware accelerators
hardware implementation
hardware performance counters
hardware security
hardware software co-design
heart-rate
Heterogeneous
Heterogeneous Computing
Heterogenous Communication
high-level functional fault model
High-level synthesis
HMI
Hybrid Communication
Hyperspectral imaging
I
Identity-based Encryption
Image Processing
implementation-independent test generation
In cabin measurement
In-vehicle networks
Industry Automation
Infrared imaging
Instruction scheduling
integrity
intelligent transport systems
Intelligent Transportation Systems
Interaction Patters
Interface Design
internet of things
Internet of Things (IoT)
Internet of Things security
Inverse NTT
IoT
Izikevich neuron
J
Java Card
JICG
L
lab-on-a-chip
Lagrange interpolation
laser
Laser fault injection
LiDAR
Lightweight Cryptography
Logic optimization
Logic synthesis
low-power
M
machine learning
Machine Learning Acceleration
Machine learning on edge
machine learning performance prediction
malware
Mandatory access control
Mapping
masking
maximization
metastability analysis
microarchitecture
Microcontroller
microfluidics
microgrid
MIKEY-SAKKE
Minimum clique cover problem
mixed precision
Model Predictive Control
Model-based Design
modular redundancy
multi-level approach
Multilevel security
Multimedia tampering
Multiple-choice Knapsack Problem
MultiProcessor
Multiprocessor system-on-chip
Myriad
N
Near-memory computing
Network-on-chip
Networked control systems
NIST lightweight standardization competition
no back-pressure
NoC simulation
non-cryptographic hash functions
Nondeterministic execution
novelty detection
NTT
O
OpenCL
operating system
optical Fault Injection attack
Optical flow
optimization
Ordering
outlier detection
Oxygen saturation
Oxygenation Maps
P
Parallel computing
Parallel execution
Parallel Programming
Parallel simulation
PARSEC
Partial Dynamic Reconfiguration Controller
partial reconfiguration
perception
Performance
Performance prediction
Petri net
Physical attacks
Physics of failure
Pinning
pixel accumulation
platoons
Post-Quantum Cryptography
power and thermal management
Power Modelling
Prefetchers
Probabilistic timing analysis
Processing-in-Memory
processing-near-memory
processor architecture
Prognostic health monitoring
Programmable logic
Protected Communication
Protection against side-channel attacks
pseudo-Boolean optimization
Pseudo-exhaustive testing
psychophysiology
pulse degradation
Q
QEMU
Quality Metrics
Quality-Sensitive Applications
quantization
quantum circuit transformation
quantum computation
R
radiation hardness
Raspberry Pi
Real-time
real-time video processing
Reconfigurable hardware
reconfigurable logic
Reconfiguration
Redundancy Allocation
Redundancy Insertion
reference architecture
reflectance
Reliability
Reliability Analysis
ReRAM
resource management
Resource-Constrained Platforms
Reverse Engineering
Reversible logic circuits
RISC processors
RISC-V
S
Safety-critical
sample preparation
sample rate converter
SAT
Scalability
Scheduling
Scientific computing
Scratchpad Memory Management
security
Self-Organizing Map
semi-supervised
Sensors
Sequential Streaming Circuits
Services
Ship detection
Side Channel Attacks
side-channel analysis
SIMD
Simulation
Simulation acceleration
Simulation and Modelling Tools
Simulation-based performance estimation
single-frame
SLAM
Smart connected glasses
SNOW-V stream cipher
SoC
SoC prediction
Software engineering
Spiking Neural Networks
state machine
switching-activity
Synchronous
Synthesis
System Architecture
System Development
system level testing
System on Chip
System-level design methodology
System-level modeling
System-on-Chip
System-on-Chip (SoC)
SystemC HLS
T
task allocation strategy
task-based programming model
Test vector compression technique
test-bed
theory of regions
Thermal imaging
Time series analysis
Time-of-Flight
Tissue Oxygenation
TLS
ToF
Transformers
Transition system
Transmission Optimisation
trust
Two-level control
two-phase cooling
U
UHD
Unit testing
Unmanned Aerial System (UAS)
use-case
V
V2I Communications
Vector processing
Verification
VHDL
Video forgery detection
Video integrity
Vision Processing Unit
Visualization
Z
Zynq UltraScale+ MPSoC