SASIMI 2018: THE 21ST WORKSHOP ON SYNTHESIS AND SYSTEM INTEGRATION OF MIXED INFORMATION TECHNOLOGIES
TALK KEYWORD INDEX

This page contains an index consisting of author-provided keywords.

1
1-out-of-N Single-track handshaking protocol
3
3D IC
3D integration
3D SoC
6
65-nm
A
A* algorithm
ACAP
acceleration
ADC
affine decomposition
affine transform
aging
Analog emulator
annealing
Anti-counterfeiting
AOI22
application-adaptive
Approximate computing
Approximate full adder
artificial intelligence
Asynchronous circuit
Asynchronous circuits
ATPG
auto-correlation
automated testbench
automotive system
B
Bayesian network
bi-directional interconnection
big data
Biochip Architecture Design
Building Block Computation Systems
C
Charge Recycling
Circuit optimization
CLIF to Verilog
clock mesh
clock skew
clock tree
Clustering
CNN
collision mitigation
combinatorial optimization problem
computer aided design
Computer-Aided Diagnosis
Constraint graph
constraint satisfaction problem
Convolutional Neural Network
Convolutional neural networks
coverage-driven verification
Cut Mask
cyclic row-shift decomposition
D
Data Bus Architecture
Data Coding
debug
Decision Diagrams
decision tree
decomposable functions
decomposition chart
decoupling capacitor
deep learning
Delay insertion
delay locked loop
delay variation
Design Automation
design for manufacturability
Detailed Routing
Deterministic
digital circuit
Digital Microfluidic Biochip
distributed control
double modular redundancy
DRC violations
Dynamic Compaction
Dynamic reconfiguration
dynamic scheduling
dynamic sensitivity control
E
ECO
embedded system
energy efficient
Energy harvesting
energy measurement
energy modeling
equivalence class
Error Analysis
error diagnosis
error location set
EV modeling
F
fast Fourier transform
fault injection attacks
Field Programmable
field programmable gate arrays
firmware
firmware update
fixed-stride-type FFT
flash memory
Flexible distance-based hashing
floating point number arithmetic
Flow Path
FPGA
frequency dependence
Full system
functional decomposition
G
Gauss-Jordan eliminaion
General-synchronous framework
Genome analysis
global routing
Graph reduction
H
hardware
Hardware Security
Hash Function
heavy ion
high-level synthesis
Hybrid Caching System
I
IC Testing
IEEE802.11ax
index generation function
inductance
industrial vision system
Integer Linear Programming
Integrated optical circuits
Ising model
L
Layout Pattern Classification
Legacy Circuit
Lightweight cipher
Line-End Spacing
Linear transform
Loading Reagent
Logic optimization
Look-Up Table
loop unrolling
Low power
Low power design
LSI
LUT
M
machine learning
MapReduce
memory reduction
memristor
memristor crossbar
Microfluidic
Midori
minimizing delay
Minimum Maximum-Edge-Weight Matching
mixed structure
MLC
Modeling
monotonic switching ADC
Motor control
multi-input function
Multi-Level Cache
multi-objective genetic algorithm
Multi-Processor System-on-Chip
multiple receivers
Multiple-Patterning Lithography
Multiplexer
Multiplier
mutual inductance
N
Nanophotonic devices
nanophotonics
NBTI
Near-data processing
Near-threshold computing
Nearest neighbor search
negotiation-based router
Netlist Converter
neural network
neuromorphic computing
Node merging
nonvolatile resistive-change switch
O
one-hot representation
One-Pass Synthesis Flow
optical access network
optical integrated circuit
Optical Parallel Multiplier
output controll strategy
Output limit
Oxygen Concentration Sensor
P
Parallelism
Parity Spacing
parts counting
pattern classification
Performance analysis
physical design
placement
placement and routing
post-silicon tuning
Power analysis
power analysis attacks
power delivery network
Power-gating
Processor and Memory Allocation
processors
programmable delay element
Programmable Microfluidic Devices
programmble
protocol-processing circuit
pruning
Prüfer encoding
PUF
Q
quadcopters
R
radiation hardening
random forest
Reconfigurable structure
register clustering
regression analysis
relaxed routing problem
reliability
Represent Probabilities
Reuse Distance
Ring Oscillator
ROS
routing
RSA cryptosystem
RSF
RSFQ circuits
RSM
RTL verification
RTOS
S
safety
SAR-ADC
scheduling
schematic
security
Set-Pair Routing
Shared memory
side channel attack resistant design
single event transient
Single-ISA Heterogeneous Multi-core Architecture
SoC
soft error
Sparsity
spatial reuse
spectral transform
spiking neural network
SRAM
SSD
Standard-Cell Memory (SCM)
state machine
Stochastic Computing
Strassen's algorithm
subsampling
Support Vector Machine
System Level Design
T
Tamper resistance
Target Pin-Pair
tcad simulation
TCI
Test Time
Testing
thermal analysis
thermal management
thermo-mechanical stress
Threshold logic
Through Silicon Vias
timing
Timing Analysis
timing correction
Transmission-Gate
tree structure
U
unsatisfiable core
V
variable latency operations
Verification of authenticity
Virtual prototypes
virtualization
Voltage-drop
W
Wake-up scheduling
Walsh transform
Wave Digital Filter(WDF)
Wired Communication
wireless power transfer (WPT)
Wireless Sensor Network
Wrapper Chain
Wrapper Optimization
writing speed
Z
ZigBee